CN203851223U - 一种基于fpga实现lvds转dvi和hdmi的转换电路 - Google Patents

一种基于fpga实现lvds转dvi和hdmi的转换电路 Download PDF

Info

Publication number
CN203851223U
CN203851223U CN201420233193.0U CN201420233193U CN203851223U CN 203851223 U CN203851223 U CN 203851223U CN 201420233193 U CN201420233193 U CN 201420233193U CN 203851223 U CN203851223 U CN 203851223U
Authority
CN
China
Prior art keywords
pin
chip
fpga
lvds
capacitor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201420233193.0U
Other languages
English (en)
Inventor
刘媛媛
冯宏伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wuxi Professional College of Science and Technology
Original Assignee
Wuxi Professional College of Science and Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wuxi Professional College of Science and Technology filed Critical Wuxi Professional College of Science and Technology
Priority to CN201420233193.0U priority Critical patent/CN203851223U/zh
Application granted granted Critical
Publication of CN203851223U publication Critical patent/CN203851223U/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Controls And Circuits For Display Device (AREA)

Abstract

本实用新型涉及视频信号转换技术领域,具体为一种基于FPGA实现LVDS转DVI和HDMI的转换电路,其能够实现LVDS转换成DVI和HDMI,得到较高的数字视频信号传输速率和高清分辨率,使用方便,适应性好,其包括电源电路和LVDS视频源,其特征在于,LVDS视频源连接图像信号处理电路,图像信号处理电路包括FPGA芯片,FPGA芯片连接算法存储器电路、HDMI发送电路、DVI发送电路、配置电路。

Description

一种基于FPGA实现LVDS转DVI和HDMI的转换电路
技术领域
本实用新型涉及视频信号转换技术领域,具体为一种基于FPGA实现LVDS转DVI和HDMI的转换电路。
背景技术
目前,连接到显示器的数字视频接口主要有LVDS和DVI/HDMI几种方式。LVDS是一种低压差分信号技术接口,缺点是支持的速率不够高,只能达到230Mb/s左右,即SXGA的分辨率。另外LVDS也无法提供内容保护的机制,LVDS主要应用在一些便携系统中小尺寸的显示器上,但是这一技术不太适合桌面显示器。DVI/HDMI可以支持到UXGA的分辨率,已经超过了高清电视需要的分辨率。DVI可以通过HDCP提供内容保护的功能,而HDMI本身就含有HDCP的内核。基于这两个因素,DVI/HDMI接口已广泛应用于DVD播放机、有线电视/卫星电视机顶盒、HDTV等设备上,但是其转换不方便。
发明内容
为了解决上述问题,本实用新型提供了一种基于FPGA实现LVDS转DVI和HDMI的转换电路,其能够实现LVDS转换成DVI和HDMI,得到较高的数字视频信号传输速率和高清分辨率,使用方便,适应性好。
其技术方案是这样的:其包括电源电路和LVDS视频源,其特征在于,所述LVDS视频源连接图像信号处理电路,所述图像信号处理电路包括FPGA芯片,所述FPGA芯片连接算法存储器电路、HDMI发送电路、DVI发送电路、配置电路。
其进一步特征在于,所述FPGA芯片为型号为EP4CE40F29C8N,所述FPGA芯片的FPGA_CLK_27M管脚连接27M晶振;
所述LVDS视频源为双通道LVDS视频源,所述LVDS视频源通过LVDS数据线和时钟信号线连接所述FPGA芯片;
所述算法存储器电路包括两个SDRAM存储器,所述SDRAM存储器的型号为H57V2562GTR-60C,所述SDRAM存储器的通过RGB数据线、控制信号线、时钟信号线连接所述FPGA芯片;
所述配置电路包括M25P16串行主动配置芯片U18,所述M25P16串行主动配置芯片通过配置信号线连接所述FPGA芯片,所述M25P16串行主动配置芯片连接AS下载接口J10;
所述HDMI发送电路包括AD9889B发射芯片U3,所述FPGA通过RGB数据线、控制信号线、时钟信号线连接所述AD9889B发射芯片U3,所述AD9889B发射芯片U3连接HDMI接口;
所述DVI发送电路包括TFP410视频编码芯片U1,所述FPGA通过RGB数据线、控制信号线、时钟信号线连接所述TFP410视频编码芯片U1,所述TFP410视频编码芯片U1连接DVI接口;
所述电源电路包括2.5V电源电路、1.8V电源电路、1.2V电源电路,所述2.5V电源电路、1.8V电源电路、1.2V电源电路的输入电压均为3.3V的电源VDD,所述;
所述2.5V电源电路包括TPS79625稳压芯片U5,所述TPS79625稳压芯片U5的1脚和2脚相连后连接电容C2、电容C100、电感L9一端,所述电容C2、C100另一端相连后接地,所述电感L9另一端连接电源VDD,所述TPS79625稳压芯片U5的3脚和6脚接地、5脚通过电容C12接地、4脚连接电容C5、C6、C103一端,所述电容C5、C6、C103另一端相连后接地,所述TPS79625稳压芯片U5的4脚为2.5V电源电路的2.5V电压输出端,所述1.8V电源电路包括TPS79618稳压芯片U6,所述TPS79618稳压芯片U6的1脚和2脚相连后连接电容C3、电容C99、电感L10一端,所述电容C3、C99另一端相连后接地,所述电感L10另一端连接电源VDD,所述TPS79618稳压芯片U6的3脚和6脚接地、5脚通过电容C13接地、4脚连接电容C9、C10、C108一端,所述电容C9、C10、C108另一端相连后接地,所述TPS79618稳压芯片U6的4脚为1.8V电源电路的1.8V电压输出端,所述1.2V电源电路包括AMS1084-ADJ稳压芯片,所述AMS1084-ADJ稳压芯片的3脚连接电容C4、电容C101、电感L11一端,所述电容C4、C101的另一端相连后接地,所述电感L11的另一端连接电源VDD,所述AMS1084-ADJ稳压芯片的1脚接地、2脚和4脚相连后连接电阻R4、电容C11、电容C102的一端,所述电阻R4另一端连接1脚,所述电容C11、C102的另一端相连后接地,所述AMS1084-ADJ稳压芯片的4脚为所述1.2V电源电路的1.2V电压输出端;
所述FPGA芯片上留有测试端口。
采用本实用新型的结构后,FPGA作为主控芯片,承担了LVDS视频数据流的解析、色彩空间的转换、算法存储器电路的读写控制、HDMI发送电路和DVI发送电路的控制等多种任务,多种任务集中在一块芯片上实现,提高了系统的集成度,另外由于FPGA的可编程性,使整个系统拥有了很大的灵活性,使用方便,适应性好。又由本实用新型中的DVI和HDMI可以支持到UXGA的分辨率,实现LVDS转换成DVI和HDMI的同时,得到较高的数字视频信号传输速率和高清分辨率,确保高清信号输出。
附图说明
图1为本实用新型结构示意图;
图2为SDRAM存储器与FPGA芯片电路连接示意图;
图3为配置电路示意图;
图4为HDMI发送电路示意图;
图5为DVI发送电路示意图;
图6为FPGA芯片与双通道LVDS视频源、HDMI发送电路DVI发送电路连接示意图;
图7为电源电路示意图。
具体实施方式
见图1所示,一种基于FPGA实现LVDS转DVI和HDMI的转换电路,其包括电源电路11和双通道LVDS视频源2,双通道LVDS视频源2连接图像信号处理电路,图像信号处理电路包括FPGA芯片1,FPGA芯片1连接算法存储器电路、HDMI发送电路8、DVI发送电路9、配置电路5;算法存储器电路包括两个SDRAM存储器3,SDRAM存储器3的通过RGB数据线、控制信号线、时钟信号线连接FPGA芯片1,双通道LVDS视频源2通过LVDS数据线和时钟信号线连接FPGA芯片1,FPGA芯片1通过时钟信号线连接27M晶振4,配置电路5通过配置信号线连接FPGA芯片1,配置电路5连接AS下载接口6,FPGA芯片1通过RGB数据线、控制信号线、时钟信号线连接HDMI发送电路8,HDMI发送电路8通过TMDS数据线、时钟信号线连接HDMI接口10,FPGA芯片1通过RGB数据线、控制信号线、时钟信号线连接DVI发送电路7,DVI发送电路7通过TMDS数据线、时钟信号线连接DVI接口9。
图像处理模块电路是以Cyclon4系列EP4CE40F29C8N的FPGA芯片为核心组成的电路。FPGA芯片内部的LVDS接收器实现对双通道LVDS视频源的低压差分信号的接收后,把解码出来的并行图像数据按照对应关系将每个像素的色彩值解析到RGB空间,实现色彩空间的转换;随后将转换后的每个像素的RGB值写入SDRAM存储器中;再从SDRAM存储器中读出像素的RGB值,并将其转换成TMDS码元序列,但考虑到TMDS链路上传输的是编码后的串行码元,根据TMDS链路的编码规则,链路上传输的码元速率将达到1G(码元/秒)左右,如此高的速度对于FPGA 芯片的实现是比较困难的,所以需要采用专用的TMDS发送芯片来实现TMDS数据流的发送,即由FPGA 芯片将像素的RGB值从SDRAM存储器中读出,并按照VGA 的时序标准发传送给TMDS发送芯片,再由TMDS发送芯片完成对每个像素的RGB值的编码和并串转换,然后将其发送到TMDS链路上,提供给HDMI和DVI显示。本实用新型采用差分信号传输,数据发送和接收中识别的都是压差信号,传输线缆长度对信号影响较小,具有较好的远距离信号传输、抗电磁干扰等能力。
算法存储器电路中SDRAM存储器的主要操作包括初始化、读写和刷新操作,考虑到视频数据的存储和显示是同时进行的,而SDRAM存储器是单端口器件,数据的写入和读出不能同时进行,故需要两块SDRAM同时进行乒乓操作来完成数据的连续读写。由于输出图像的分辨率要求FPGA 与TMDS 发送芯片之间传送数据的带宽在100M(像素/秒)以上,因此要求FPGA芯片 与SDRAM 存储器之间传送数据的带宽也要在100M(像素/秒)以上。最后考虑到系统的扩展和升级,最终选用了Hynix公司的型号为H57V2562GTR-60C的SDRAM 存储器,这种型号的存储器的容量为4M x 4Bank x16比特,工作速度可达到166MHz,完全满足系统的要求。见图2所示,图中有FPGA芯片中部分管脚和两个SDRAM存储器U16、U17,FPGA芯片的各个管脚外的标注与SDRAM存储器U16、U17各个管脚外的标注对应连接,即FPGA芯片的SDRAM_DQ[31,0]的32个脚管脚对应连接SDRAM存储器U17的DQ15~DQ0管脚、SDRAM存储器U16的DQ15~DQ0管脚,FPGA芯片的SDRAM_A[12,0]的13个管脚分别对应连接SDRAM存储器U16、U17的A12~A0管脚,FPGA芯片的SD_BA[1,0]的两个管脚分别连接SDRAM存储器U16、U17的BA1、BA0管脚,FPGA芯片的SDRAM_ CS_N、SDRAM_CLK、 SDRAM_CKE、 SDRAM_CAS_N、 SDRAM_WE_N 、SDRAM_RAS_N管脚分别对应连接SDRAM存储器U16、U17的nSCS、SCLK、SCKB、nSCAS、nCE、nSRAS管脚,FPGA芯片的SDRAM2_UDM、SDRAM2_LDM、SDRAM1_UDM、SDRAM1_LDM管脚对应连接SDRAM存储器U17的UDQM、LDQM管脚,FPGA芯片的SDRAM1_UDM、SDRAM1_LDM管脚对应连接SDRAM存储器U16的UDQM、LDQM管脚,其中,FPGA芯片的SDRAM_CLK端口与SDRAM存储器U16、U17的SCLK端口相连后提供给时钟信号,其余的端口相连都是提供控制信号,另外FPGA芯片预留有测试端口,即TEST_2V5_POINT[4,0]和TEST_3V3_POINT[6,0]共12个端口,方便以后测试时使用。
配置电路选用的是M25P16型号串行主动配置芯片U18,由AS下载接口J10将程序写入到串行主动配置芯片U18中,在系统上电后,由FPGA芯片主动要求串行主动配置芯片U18对其进行配置,使此视频接口具有脱机工作的能力,见图3所示,串行主动配置芯片U18、AS下载接口J10、FPGA芯片的连接如图所示。
HDMI发送电路采用ADI公司的高清多媒体HDMI1.3接口发射芯片AD9889B。AD9889B支持的TMDS 单链路上的像素带宽范围在25M(像素/秒)至165M(像素/秒)之间,支持最高达1080p的高清电视格式,以及最高达UXGA(1600 ×1200,60 Hz)的计算机图形分辨率。它内置HDCP支持功能,可以安全传输HDCP1.2协议规定的受保护内容。另外,它的外围配置电路较少,通过将配置引脚与FPGA 相连,可以实现多种配置使其工作在不同的方式下,设计灵活性大。
DVI发送电路采用TI公司的视频编码芯片TFP410,编码后的TMDS信号通过DVI电缆传送至DVI显示器。TFP410视频编码芯片支持从VGA到UXGA(25~1 65MHz)格式的像素速率,它接收24位并行像素数据、像素时钟和控制信号,通过TMDS 编码模块将其编码成RGB三路数据通道和一路时钟通道。TFP410 视频编码芯片有状态引脚配置和I2C 总线配置两种配置方式。本实用新型利用FPGA 内部实现的I2C 模块对TFP410视频编码芯片 进行配置。TFP410视频编码芯片主要通过DE管脚的高低电平来决定发送信号类型:当DE管脚为高电平时,发送像素编码数据;当DE管脚为低电平时,发送同步信号以及控制信号;ISEL管脚的高低决定是否采用I2C总线,当ISEL管脚信号为低电平时,需要根据外部引脚对芯片进行配置;当ISEL管脚信号为高电平时,可以通过I2C总线来配置,此时外部引脚配置不起作用。
见图4,图5,图6所示,图6中FPGA芯片的管脚外的标注与图4、图5中AD9889B发射芯片U3、 TFP410视频编码芯片U1管脚外的标注对应的即为连接,即FPGA芯片的HDMI_R[7,0]、HDMI_G[7,0]、HDMI_B[7,0]的24个管脚对应连接AD9889B发射芯片U3的D23~D0管脚,FPGA芯片的HMDI_CLK、HMDI_VS、HMDI_HS、HMDI_DE、HMDI_PD_N、HMDI_SDA、HMDI_SCL、HMDI_INT管脚对应连接AD9889B发射芯片U3的CLK、VSYNC、HSYNC、DE、PA/A0、SDA、SCL、INT管脚,其中FPGA芯片的HMDI_CLK与AD9889B发射芯片U3的CLK相连通时钟信号,其余为控制信号;FPGA芯片的DVI_R[7,0]、DVI_G[7,0]、DVI_B[7,0]的24个管脚对应连接TFP410视频编码芯片U1的DATA23~DATA0管脚,FPGA芯片的DVI_IDCK、DVI_VS、DVI_HS、DVI_DE、DVI_PD、DVI_MSEN、DVI_EDGE_HTPLG管脚对应连接TFP410视频编码芯片U1的IDCK+、VSYNC、HSYNC、DE、PD#、MSEN、EDGE/HTPLG管脚。
见图4所示, SCLK管脚输入音频输入时钟,S/PDIF管脚为Sony/Philips音频输入,MCLK 管脚输入音频参考时钟,LRCLK 管脚为左右声道选择,HPD管脚输入显示屏热插拔感应信号,HDMI_DDC_SCL、HDMI_DDC_SCK管脚为AD9889B发射芯片U3与HDMI显示屏的I2C通信接口,I2S0、I2S1、I2S2、 I2S3管脚为音频输入数据,TX0+、TX0-、TX1+、TX1-、TX2+、TX2-、TXC+、TXC-管脚连接HMDI接口,前六个为三对传输TMDS数据,后两个为一对传输TMDS时钟;见图5所示,TX0+、TX0-、TX1+、TX1-、TX2+、TX2-、TXC+、TXC-管脚连接DVI接口,前六个为三对传输TMDS数据,后两个为一对传输TMDS时钟;见图6所示,LVDS_A_CK_P、LVDS_A_CK_N、LVDS_A_D_P[3,0]、LVDS_A_D_N[3,0]管脚连接A通道LVDS视频源,LVDS_A_CK_P、LVDS_A_CK_N管脚传输时钟信号,LVDS_A_D_P[3,0]、LVDS_A_D_N[3,0]管脚传输LVDS数据,LVDS_B_CK_P、LVDS_B_CK_N、LVDS_B_D_P[3,0]、LVDS_B_D_N[3,0]管脚连接B通道LVDS视频源,LVDS_B_CK_P、LVDS_B_CK_N管脚传输时钟信号,LVDS_B_D_P[3,0]、LVDS_B_D_N[3,0]管脚传输LVDS数据, 27M晶振连接到FPGA专用时钟输入管脚即FPGA_CLK_27M管脚,27M晶振经FPGA 片内模拟锁相环(PLL)倍频到162M, 做为HDMI和DVI视频的同步时钟。FPGA将产生HDMI和DVI发射电路工作所需要的行同步、帧同步、数据有效、时钟等信号。
电源电路的输入电压为3.3V的电源VDD。因AD9889B发射芯片U3的供电电压为1.8V,需要一颗3.3V转1.8V的稳压芯片;另外FPGA 芯片配置的LVDS引脚标准电压为2.5V,因此需要3.3V转2.5V 的稳压芯片;又有FPGA芯片中的锁相环和中断需求电压为1.2V,需要3.3V转1.2V的稳压芯片,固整个电路中需要3颗稳压芯片。同时,考虑到各个芯片对功耗的要求,3.3V转1.8V的稳压芯片最终选定为Texas Instruments公司的TPS79618型号的稳压芯片,它的输出电流可以达到1A,3.3V转2.5V 的芯片选用Texas Instruments公司的TPS79625型号的稳压芯片,它的输出电流可以达到1A;3.3V转1.2V的芯片选用Advanced Monolithic Systems 公司型号为AMS1084-ADJ稳压芯片,具体电路如图7所示,2.5V电源电路包括TPS79625稳压芯片U5,TPS79625稳压芯片U5的1脚和2脚相连后连接电容C2、电容C100、电感L9一端,电容C2、C100另一端相连后接地,电感L9另一端连接电源VDD,TPS79625稳压芯片U5的3脚和6脚接地、5脚通过电容C12接地、4脚连接电容C5、C6、C103一端,电容C5、C6、C103另一端相连后接地,TPS79625稳压芯片U5的4脚为2.5V电源电路的2.5V电压输出端,1.8V电源电路包括TPS79618稳压芯片U6,TPS79618稳压芯片U6的1脚和2脚相连后连接电容C3、电容C99、电感L10一端,电容C3、C99另一端相连后接地,电感L10另一端连接电源VDD,TPS79618稳压芯片U6的3脚和6脚接地、5脚通过电容C13接地、4脚连接电容C9、C10、C108一端,电容C9、C10、C108另一端相连后接地,TPS79618稳压芯片U6的4脚为1.8V电源电路的1.8V电压输出端,1.2V电源电路包括AMS1084-ADJ稳压芯片,AMS1084-ADJ稳压芯片的3脚连接电容C4、电容C101、电感L11一端,电容C4、C101的另一端相连后接地,电感L11的另一端连接电源VDD,AMS1084-ADJ稳压芯片的1脚接地、2脚和4脚相连后连接电阻R4、电容C11、电容C102的一端,电阻R4另一端连接1脚,电容C11、C102的另一端相连后接地,AMS1084-ADJ稳压芯片的4脚为1.2V电源电路的1.2V电压输出端。

Claims (10)

1.一种基于FPGA实现LVDS转DVI和HDMI的转换电路,其包括电源电路和LVDS视频源,其特征在于,所述LVDS视频源连接图像信号处理电路,所述图像信号处理电路包括FPGA芯片,所述FPGA芯片连接算法存储器电路、HDMI发送电路、DVI发送电路、配置电路。
2.根据权利要求1所述的一种基于FPGA实现LVDS转DVI和HDMI的转换电路,其特征在于,所述FPGA芯片为型号为EP4CE40F29C8N,所述FPGA芯片的FPGA_CLK_27M管脚连接27M晶振。
3.根据权利要求1所述的一种基于FPGA实现LVDS转DVI和HDMI的转换电路,所述LVDS视频源为双通道LVDS视频源,所述LVDS视频源通过LVDS数据线和时钟信号线连接所述FPGA芯片。
4.根据权利要求1所述的一种基于FPGA实现LVDS转DVI和HDMI的转换电路,所述算法存储器电路包括两个SDRAM存储器,所述SDRAM存储器的型号为H57V2562GTR-60C,所述SDRAM存储器的通过RGB数据线、控制信号线、时钟信号线连接所述FPGA芯片。
5.根据权利要求1所述的一种基于FPGA实现LVDS转DVI和HDMI的转换电路,所述配置电路包括M25P16串行主动配置芯片U18,所述M25P16串行主动配置芯片通过配置信号线连接所述FPGA芯片,所述M25P16串行主动配置芯片连接AS下载接口J10。
6.根据权利要求1所述的一种基于FPGA实现LVDS转DVI和HDMI的转换电路,所述HDMI发送电路包括AD9889B发射芯片U3,所述FPGA通过RGB数据线、控制信号线、时钟信号线连接所述AD9889B发射芯片U3,所述AD9889B发射芯片U3连接HDMI接口。
7.根据权利要求1所述的一种基于FPGA实现LVDS转DVI和HDMI的转换电路,所述DVI发送电路包括TFP410视频编码芯片U1,所述FPGA通过RGB数据线、控制信号线、时钟信号线连接所述TFP410视频编码芯片U1,所述TFP410视频编码芯片U1连接DVI接口。
8.根据权利要求1所述的一种基于FPGA实现LVDS转DVI和HDMI的转换电路,所述电源电路包括2.5V电源电路、1.8V电源电路、1.2V电源电路,所述2.5V电源电路、1.8V电源电路、1.2V电源电路的输入电压均为3.3V的电源VDD,所述。
9.根据权利要求8所述的一种基于FPGA实现LVDS转DVI和HDMI的转换电路,所述2.5V电源电路包括TPS79625稳压芯片U5,所述TPS79625稳压芯片U5的1脚和2脚相连后连接电容C2、电容C100、电感L9一端,所述电容C2、C100另一端相连后接地,所述电感L9另一端连接电源VDD,所述TPS79625稳压芯片U5的3脚和6脚接地、5脚通过电容C12接地、4脚连接电容C5、C6、C103一端,所述电容C5、C6、C103另一端相连后接地,所述TPS79625稳压芯片U5的4脚为2.5V电源电路的2.5V电压输出端,所述1.8V电源电路包括TPS79618稳压芯片U6,所述TPS79618稳压芯片U6的1脚和2脚相连后连接电容C3、电容C99、电感L10一端,所述电容C3、C99另一端相连后接地,所述电感L10另一端连接电源VDD,所述TPS79618稳压芯片U6的3脚和6脚接地、5脚通过电容C13接地、4脚连接电容C9、C10、C108一端,所述电容C9、C10、C108另一端相连后接地,所述TPS79618稳压芯片U6的4脚为1.8V电源电路的1.8V电压输出端,所述1.2V电源电路包括AMS1084-ADJ稳压芯片,所述AMS1084-ADJ稳压芯片的3脚连接电容C4、电容C101、电感L11一端,所述电容C4、C101的另一端相连后接地,所述电感L11的另一端连接电源VDD,所述AMS1084-ADJ稳压芯片的1脚接地、2脚和4脚相连后连接电阻R4、电容C11、电容C102的一端,所述电阻R4另一端连接1脚,所述电容C11、C102的另一端相连后接地,所述AMS1084-ADJ稳压芯片的4脚为所述1.2V电源电路的1.2V电压输出端。
10.根据权利要求1所述的一种基于FPGA实现LVDS转DVI和HDMI的转换电路,所述FPGA芯片上留有测试端口。
CN201420233193.0U 2014-05-07 2014-05-07 一种基于fpga实现lvds转dvi和hdmi的转换电路 Expired - Fee Related CN203851223U (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201420233193.0U CN203851223U (zh) 2014-05-07 2014-05-07 一种基于fpga实现lvds转dvi和hdmi的转换电路

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201420233193.0U CN203851223U (zh) 2014-05-07 2014-05-07 一种基于fpga实现lvds转dvi和hdmi的转换电路

Publications (1)

Publication Number Publication Date
CN203851223U true CN203851223U (zh) 2014-09-24

Family

ID=51564188

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201420233193.0U Expired - Fee Related CN203851223U (zh) 2014-05-07 2014-05-07 一种基于fpga实现lvds转dvi和hdmi的转换电路

Country Status (1)

Country Link
CN (1) CN203851223U (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104575351A (zh) * 2014-12-25 2015-04-29 中航华东光电有限公司 一种信号转换系统、显示器及信号转换方法
CN104811649A (zh) * 2015-04-29 2015-07-29 深圳市载德光电技术开发有限公司 基于fpga的视频数据传输系统
CN105118412A (zh) * 2015-09-29 2015-12-02 京东方科技集团股份有限公司 Dvi信号转换装置和dvi信号输入测试板卡
CN105611212A (zh) * 2015-12-30 2016-05-25 合肥宏晶微电子科技股份有限公司 一种单芯片实现VGA/YPbPr信号转HDMI信号的视频信号转换方法
CN106375697A (zh) * 2015-07-22 2017-02-01 深圳多新哆技术有限责任公司 一种信号转换装置
WO2017101356A1 (zh) * 2015-12-14 2017-06-22 乐视控股(北京)有限公司 视频信号处理设备
CN107205176A (zh) * 2017-07-17 2017-09-26 深圳创维-Rgb电子有限公司 一种信号转换装置及转换方法
CN109036276A (zh) * 2018-09-25 2018-12-18 深圳市峰泳科技有限公司 一种Micro-OLED微型显示器驱动电路
CN109388602A (zh) * 2017-08-14 2019-02-26 仁宝电脑工业股份有限公司 电子装置、逻辑芯片与逻辑芯片的通讯方法
CN110379395A (zh) * 2019-08-14 2019-10-25 湖北亿咖通科技有限公司 车机显示演示装置及方法
CN110442217A (zh) * 2019-06-27 2019-11-12 芜湖康爱而电气有限公司 一种dsp芯片的外围电路结构
CN110933333A (zh) * 2019-12-06 2020-03-27 河海大学常州校区 一种基于fpga的图像采集、存储与显示系统
CN111327858A (zh) * 2020-03-04 2020-06-23 青岛大学 Lvds视频信号转hdmi接口信号方法、系统、装置

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104575351A (zh) * 2014-12-25 2015-04-29 中航华东光电有限公司 一种信号转换系统、显示器及信号转换方法
CN104811649A (zh) * 2015-04-29 2015-07-29 深圳市载德光电技术开发有限公司 基于fpga的视频数据传输系统
CN104811649B (zh) * 2015-04-29 2018-06-19 深圳市载德光电技术开发有限公司 基于fpga的视频数据传输系统
CN106375697A (zh) * 2015-07-22 2017-02-01 深圳多新哆技术有限责任公司 一种信号转换装置
CN106375697B (zh) * 2015-07-22 2023-12-05 深圳多新哆技术有限责任公司 一种信号转换装置
CN105118412A (zh) * 2015-09-29 2015-12-02 京东方科技集团股份有限公司 Dvi信号转换装置和dvi信号输入测试板卡
WO2017101356A1 (zh) * 2015-12-14 2017-06-22 乐视控股(北京)有限公司 视频信号处理设备
CN105611212A (zh) * 2015-12-30 2016-05-25 合肥宏晶微电子科技股份有限公司 一种单芯片实现VGA/YPbPr信号转HDMI信号的视频信号转换方法
CN107205176A (zh) * 2017-07-17 2017-09-26 深圳创维-Rgb电子有限公司 一种信号转换装置及转换方法
TWI684870B (zh) * 2017-08-14 2020-02-11 仁寶電腦工業股份有限公司 電子裝置、邏輯晶片與邏輯晶片的通訊方法
CN109388602A (zh) * 2017-08-14 2019-02-26 仁宝电脑工业股份有限公司 电子装置、逻辑芯片与逻辑芯片的通讯方法
CN109388602B (zh) * 2017-08-14 2022-01-25 仁宝电脑工业股份有限公司 电子装置、逻辑芯片与逻辑芯片的通讯方法
CN109036276A (zh) * 2018-09-25 2018-12-18 深圳市峰泳科技有限公司 一种Micro-OLED微型显示器驱动电路
CN110442217A (zh) * 2019-06-27 2019-11-12 芜湖康爱而电气有限公司 一种dsp芯片的外围电路结构
CN110379395A (zh) * 2019-08-14 2019-10-25 湖北亿咖通科技有限公司 车机显示演示装置及方法
CN110933333A (zh) * 2019-12-06 2020-03-27 河海大学常州校区 一种基于fpga的图像采集、存储与显示系统
CN111327858A (zh) * 2020-03-04 2020-06-23 青岛大学 Lvds视频信号转hdmi接口信号方法、系统、装置

Similar Documents

Publication Publication Date Title
CN203851223U (zh) 一种基于fpga实现lvds转dvi和hdmi的转换电路
US9544654B2 (en) Streaming media system
US9418618B2 (en) Video signal transmission apparatus, play system and video signal transmission method
US20150138212A1 (en) Display driver ic and method of operating system including the same
US9652194B2 (en) Cable with video processing capability
CN102349100B (zh) 多监视器显示器
KR102143222B1 (ko) 무선 디스플레이 싱크 장치
US9762814B2 (en) Data processing method and device for LED televison, and LED television
US20160345055A1 (en) Method and apparatus for transmitting and receiving data using hdmi
CN105049781A (zh) 基于fpga的图像处理系统
US10511798B2 (en) Method for transmitting and receiving power using HDMI and apparatus therefor
CN104394347B (zh) 一种强制hdmi接口输出视频信号的装置和方法
CN104575351A (zh) 一种信号转换系统、显示器及信号转换方法
CN208225041U (zh) Bmc模块显示系统
KR20070040096A (ko) 복수개의 디지털 입력 포트를 구비한 디스플레이 장치의edid 공유 장치
JP5107205B2 (ja) ディスプレイ接続用のアダプタおよびディスプレイ接続システム
CN204031327U (zh) 基于DisplayPort实现电视墙拼接的控制装置
CN106878650A (zh) 一种dvi到vga视频转换装置及其方法
CN206596114U (zh) 一种dvi到vga视频转换装置
CN103500565A (zh) 一种存储方法及装置
CN215121002U (zh) 一种基于bmc的双路vga自动切换电路
CN106953616A (zh) 一种数字信号发生器
TWI638346B (zh) 電子紙顯示裝置及其驅動方法
CN208707782U (zh) 一种将hdmi信号转换为rgb信号的转换电路
CN206506504U (zh) 数字信号发生器

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140924

Termination date: 20170507

CF01 Termination of patent right due to non-payment of annual fee