CN1823405B - 改良的局部双道金属镶嵌平坦化系统、方法与设备 - Google Patents

改良的局部双道金属镶嵌平坦化系统、方法与设备 Download PDF

Info

Publication number
CN1823405B
CN1823405B CN2004800069641A CN200480006964A CN1823405B CN 1823405 B CN1823405 B CN 1823405B CN 2004800069641 A CN2004800069641 A CN 2004800069641A CN 200480006964 A CN200480006964 A CN 200480006964A CN 1823405 B CN1823405 B CN 1823405B
Authority
CN
China
Prior art keywords
over
additional layer
deposit
deposit part
planarization
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2004800069641A
Other languages
English (en)
Other versions
CN1823405A (zh
Inventor
S·P·洛霍卡雷
A·D·贝利三世
D·赫克
J·M·库克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Pan Lin Semiconductor Equipment Technology (shanghai) Co Ltd
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1823405A publication Critical patent/CN1823405A/zh
Application granted granted Critical
Publication of CN1823405B publication Critical patent/CN1823405B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种图案化的半导体衬底的平坦化系统与方法,包含接收图案化的半导体衬底。该图案化的半导体衬底具有填满该图案之中的多个特征的导电性互连材料。该导电性互连材料具有过度沉积部分。该过度沉积部分具有局部性不均匀。在该过度沉积部分之上形成一额外层。平坦化该额外层与该过度沉积部分。该平坦化过程基本上完全去除该额外层。

Description

改良的局部双道金属镶嵌平坦化系统、方法与设备
技术领域
本发明涉及一种双道金属镶嵌半导体的制造过程,尤关于一种半导体制造过程中用以平坦化各特征与各层的方法与系统。
背景技术
双道金属镶嵌制造过程在半导体制造中越来越普遍。在典型的双道金属镶嵌制造过程中,将一种或多种导电材料沉积于形成在半导体衬底中或形成在半导体衬底上的薄膜之中的预先已图案化的渠沟与孔内,以便形成所需的电路互连结构。通常形成有导电材料的过量或过度沉积部分。导电材料的过度沉积部分为多余且不想要的,故必须加以去除,以便产生金属镶嵌特征且提供后续工艺所需的平坦表面。
导电材料的过度沉积部分通常利用化学机械抛光(CMP)与电化学抛光(ECP)(例如,蚀刻)工艺与CMP及ECP工艺的组合而从半导体衬底上去除。然而,上述各工艺皆有其不足。举例而言,ECP的产能通常较低、均匀度差且无法有效地去除非导电性材料。
CMP需要物理接触工艺,该工艺通常会残留导电性残余物、或造成各种材料的腐蚀、或引起不均匀的移除,且无法适当地平坦化互连层与内插的介电层(ILD)的顶面。CMP也对剩余的互连与ILD结构引起与应力相关的破坏(例如,内层的分离、剥离)。CMP所引起的应力破坏更因为近年来所使用的材料之间的极差层间粘附特性而更加严重。藉由降低CMP工艺的施加的力而减小物理应力通常会造成无法接受的产能不足及其它极差的制造性能参数。
有鉴于此,目前需要一种能够均匀且充分去除过度沉积材料、同时对剩余的特征产生最小的物理应力的改良的平坦化系统与方法。此种改良的平坦化系统与方法必须适用于半导体制造且适用于如双道金属镶嵌工艺或其它半导体制造过程。
发明内容
概括而言,本发明的半导体衬底的平坦化系统与方法将满足上述要求。应当理解:可以以多种方式实现本发明,包括工艺、设备、系统、计算机可读介质、或装置。以下将描述本发明的几个创新的实施例。
其中一实施例是提供一种图案化的半导体衬底的平坦化方法,包含以下步骤:接收图案化的半导体衬底,该图案化的半导体衬底具有填满该图案之中的多个特征的导电性互连材料,且该导电性互连材料具有过度沉积部分,该过度沉积部分具有局部的不均匀;在该过度沉积部分上形成额外层;及平坦化该额外层与过度沉积部分,该平坦化过程基本上完全去除该额外层。该导电性互连材料可包括铜、含导电性材料的铜、元素铜、及其它导电性材料。在双道金属镶嵌处理中该图案可形成在图案化的半导体衬底之上。
平坦化该额外层与过度沉积部分的步骤包括基本上消除局部的、与图案相关的不均匀。平坦化该额外层与过度沉积部分的步骤亦包括在不会对所述多个特征施予机械应力的情况下基本消除局部的、与图案相关的不均匀。
该额外层与该过度沉积部分具有基本上为1∶1的蚀刻选择性。基本上平坦地形成该额外层。该额外层为基本上平坦的填充材料。该额外层与过度沉积部分的平坦化步骤亦包括蚀刻该额外层与该过度沉积部分的至少一部分。更可包含第二蚀刻处理,以使形成在该图案化的特征之上的阻挡层层暴露。
在该过度沉积部分上形成额外层的步骤包括化学转变该过度沉积部分的顶面及顶部。化学转变该过度沉积部分的顶面及顶部可包括使该过度沉积部分的顶面暴露于诸如卤素的反应气体。该额外层为该过度沉积部分的卤化反应产物。
该额外层与过度沉积部分的平坦化可包括蚀刻该额外层与该过度沉积部分的至少一部分。该额外层与过度沉积部分的平坦化可含有包括蚀刻额外层、形成第二额外层、及蚀刻该第二额外层的反复过程。该反复过程可以是原位反复过程。
在另一实施例中,提供一种半导体装置的形成方法,包含以下步骤:图案化的半导体衬底的接收步骤,该图案化的半导体衬底具有填满该图案之中的多个特征的导电性互连材料,且该导电性互连材料具有过度沉积部分,且该过度沉积部分包括局部的不均匀;在该过度沉积部分上形成额外层的步骤;及平坦化该额外层与过度沉积部分的步骤,在该平坦化步骤中基本上完全去除该额外层。
在又一实施例中,提供一种双道金属镶嵌互连结构的形成方法,包含以下步骤:双道金属镶嵌图案化的半导体衬底的接收步骤,该双道金属镶嵌图案化的半导体衬底具有填满该双道金属镶嵌图案之中的多个特征的导电性互连材料,且该导电性互连材料具有过度沉积部分,且该过度沉积部分具有局部的不均匀;在该过度沉积部分上形成额外层的步骤,基本上平坦地形成该额外层;刻蚀该额外层与该过度沉积部分的至少一部分的步骤,以基本上平坦化该过度沉积部分,该额外层基本上被完全去除。
在再一实施例中,提供一种双道金属镶嵌互连结构的形成方法,包含以下步骤:接收双道金属镶嵌图案化的半导体衬底,该双道金属镶嵌图案化的半导体衬底具有填满该双道金属镶嵌图案之中的多个特征的导电性互连材料,且该导电性互连材料具有过度沉积部分,该过度沉积部分具有局部的不均匀;化学转变该过度沉积部分的顶面与顶部,以在该过度沉积部分之上形成一额外层;及平坦化该额外层与过度沉积部分,在该平坦化步骤中基本上完全去除该额外层,而该平坦化步骤包括一反复过程,该反复过程包括:蚀刻所述额外层;形成第二额外层;及刻蚀该第二额外层的蚀刻。可以持续进行该反复过程,直到基本平坦化剩余的过度沉积部分为止。
故,本发明提供了在基本上消除局部不均匀的同时最小化机械应力的优点。
从以下结合附图的详细说明中,本发明的这些方案和优点将变得明显,下述说明以举例的方式说明了本发明的原理。
附图说明
图1显示根据本发明一实施例的图案化的半导体衬底。
图2显示根据本发明一实施例所增加的额外层。
图3显示根据本发明一实施例的基本平坦的过度沉积部分。
图4A显示根据本发明一实施例的已进行过第二蚀刻处理的衬底。
图4B显示根据本发明一实施例的已进行过阻挡层去除工艺的衬底。
图5为显示根据本发明一实施例进行局部平坦化的操作方法的流程图。
图6A至图6D显示根据本发明一实施例施加于衬底以提高局部均匀度的一系列化学变化与回蚀(etchback)工艺。
图7为根据本发明一实施例施加于衬底以提高局部的均匀度的化学转变与回蚀工艺的操作方法的流程图。
图8为根据本发明一实施例修正总体不均匀度的操作方法的流程图。
图9显示根据本发明一实施例的已基本去除并平坦化的过度沉积部分。
具体实施方式
以下说明数种改良的平坦化系统与方法的示例性实施例。本领域的技术人员应理解:不藉由在此所说明的某些或所有细节,仍可以实施本发明。
改良的平坦化系统与方法的一实施例是可使半导体衬底的局部区域上具有改善的局部平坦化均匀度。改善的局部平坦化均匀度基本消除了由下面的层中的特征与沉积工艺的变化所引起的局部性不均匀度。另一实施例提供整个衬底上的改善的平坦化均匀度(例如,相较于中心均匀度的边缘均匀度)。
图1显示根据本发明一实施例的双道金属镶嵌工艺中的图案化的半导体衬底100。藉由半导体制造过程的一部份,例如双道金属镶嵌制造过程,图案化衬底100。可使用掩模图案化衬底100。衬底100具有较大、某种程度的隔离的特征102(例如,渠沟、孔等等),较小、某种程度的隔离的特征104和紧靠在一起的几个特征106。亦含有阻挡层110。阻挡层110的材料通常不同于衬底100或导电性互连材料120。导电性互连材料120为铜或铜合金或其它导电材料。
导电性互连材料120的过度沉积部分112在特征102、104、106的上方延伸,且包括过度沉积部分112的厚度上的相对应的局部变化114、116、118。如图所示,较大的特征102,相较于较小特征104而言,具有过度沉积部分112的厚度上的相对应较大的减小,而较小的特征104则具有过度沉积部分112的厚度上的较小变化。紧靠的特征106具有过度沉积部分112的厚度上某种程度的变大。
通常的蚀刻工艺是以极为一致的速率在整个晶圆区域上蚀刻导电性互连材料120的过度沉积部分112,因此,在使靠近紧靠的特征106的阻挡层110暴露之前,这种通常的蚀刻工艺将使靠近大的特征102的阻挡层110暴露。总言之,通常的蚀刻工艺无法平坦化导电性互连材料的过度沉积部分112。
图2显示根据本发明一实施例所增加的额外层202。额外层202形成在过度沉积部分112的顶上。额外层202可以是基本平坦的填充材料(例如,旋涂玻璃(SOG)、多晶硅、聚合物抗蚀剂、双重层、UV或热硬化的材料、或能够流动而形成平坦表面且具有适当的蚀刻特性的其它材料)。在额外层202与过度沉积部分112之间亦可具有一选用的相对薄的(例如,约25至100nm厚)保形层204。保形层204为阻挡层或黏附层。可作为保形层204的材料种类是多于可作为额外层202的材料种类。
额外层202与过度沉积部分112具有基本为1∶1的蚀刻选择性,以便后续蚀刻工艺(例如,等离子体或气体蚀刻工艺)能够以基本相同的速率蚀刻额外层202与过度沉积部分112两者。
图3显示根据本发明一实施例的基本上平坦的过度沉积部分112’。由于额外层202在层100、110、112、202的堆叠结构的上方形成基本平坦的表面,故第一蚀刻过程能够均匀地蚀刻整个区域之上的额外层202与过度沉积部分112,直到剩余的过度沉积部分112’基本上局部平坦,这是因为基本去除了局部变化部分114、116、118。
典型的方法需要如下条件:额外层202与过度沉积部分112之间的蚀刻选择性为1∶1。举例而言,若额外层202为SOG,且过度沉积部分112为铜时,则基于卤素(例如,Cl、F、Br、I)的化学物质可提供适用于SOG与铜两者的蚀刻速率控制,以调整成1∶1的蚀刻选择性。虽然可使用任何足以产生反应性卤素基团的等离子体馈入气体,但通常使用CF4、Cl2、及HCl。可调整各种工艺参数而控制蚀刻速率、选择性、均匀度,且降低工艺参数(例如衬底温度)的变化和所含的一个或更多的添加物(例如,Ar、H2、Cl、O2、CH3X(X=F、Cl、Br、I)、CH2F2、与CH4)所引起的腐蚀。
另一方式涉及由溅射为主的蚀刻,使用Ar或其它惰性气体,例如He、Xe、Ne、Kr等,当作铜过度沉积部分112的主要蚀刻剂,并使用其它添加物提供对额外层202的蚀刻速率控制与钝化剩余的铜112的顶面。其它添加物包括,例如H2及/或CF4。上述每一个工艺的操作温度范围为约75℃至约400℃。
第一蚀刻工艺为用以使剩余的过度沉积部分112’基本上局部平坦的蚀刻工艺,因为基本上消除了局部性化部分114、116、118。一个或更多的后续蚀刻过程将去除过度沉积部分112’的主体或大部份。可以应用润饰蚀刻过程以将蚀刻过程进行到终点,而在此终点处,从阻挡110去除了过度沉积部分112’。该润饰蚀刻过程亦可包含在块体蚀刻过程中。在润饰蚀刻之后的后续工艺可包括选择性阻挡层去除与钝化剩余的导电材料120,以防止腐蚀且提供进一步处理所需的稳定性。在润饰蚀刻之后的额外操作可设计成不明显去除任何材料,而仅钝化剩余的导电材料120,以防止腐蚀且提供进一步处理所需的稳定性。
图4A显示根据本发明一实施例的已进行过第二蚀刻过程的衬底100。第二蚀刻过程继续进行到终点,使得所有位置上的阻挡层110基本上同时暴露且仅残留填充于特征102、104、106的导电材料(例如,铜、含铜的合金与其组合物、及其它导电材料)的部分120。
第一蚀刻过程与第二蚀刻过程可基本上相同或显著相异。举例而言,第一蚀刻过程可以是用于改善受局部不均匀114、116、118的影响(例如,受下面的层中的特征102、104、106的位置、尺寸与浓度的影响)的过度沉积部分112的局部平坦度。在第一蚀刻过程中可去除整个额外层202与过度沉积部分112的一部分。相较之下,第二蚀刻过程为更具选择性的蚀刻过程,用以去除剩余且平坦的过度沉积部分112’的大部份而达到终点(即当阻挡层110暴露时)。
图4B显示依本发明一实施例的已经过阻挡层去除过程的衬底。去除阻当层110的一部分而使下面的掩模层402暴露。仅残留下形成在特征102、104、106内的阻挡层110的一部分。通常第二蚀刻过程以高速率去除过度沉积部分112的主体部份,且优选对阻挡层110具有较高的选择性。举例而言,若过度沉积部分112为铜时,则卤素基的化学物质(例如,Cl2、CF4、HCl、HBr、BCl3)可有效地用于第二蚀刻过程。在另一种方法中,可利用以物理为主的蚀刻工艺,例如可以使用基于Ar(或其它贵重气体或惰性气体)的溅射工艺。可调整各种工艺参数而控制蚀刻速率与选择性。各种工艺参数可包括调整工艺变量,例如衬底的温度、活性反应成分的平衡、与所含的一种或多种添加物(例如,H2、O2、Ar、He、Xe、Ne、Kr等等)。
图5为根据本发明一实施例进行局部平坦化的操作方法的流程图500。在操作505中,在导电过度沉积部分112的顶面增加额外层202。在操作510中,施以第一蚀刻工艺,以去除大部份的额外层202与导电过度沉积部分112。在操作515中,施以第二蚀刻工艺,以去除剩余的过度沉积部分112’直至终点。
在可替换的实施例中,操作515亦可包括上述润饰蚀刻工艺。在润饰蚀刻之后的后续工艺可包括选择性的阻挡层去除与钝化剩余的导电材料120,以防止腐蚀并提供进一步处理所需的稳定性。在润饰蚀刻之后的额外操作并不设计成基本上去除任何材料,而仅用于钝化剩余的导电材料120,以防止腐蚀且提供进一步处理所需的稳定性。
图6A至图6D显示根据本发明一实施例的施于衬底600以提高局部的均匀度的一系列的化学变化与回蚀工艺。图7为本发明的一实施例的施于衬底600以提高局部的均匀度的化学变化与回蚀工艺的操作方法的流程图700。如图6A所示,衬底600具有基本非平坦的过度沉积部分602,该部分602具有非平坦的表面轮廓,类似于图1中描述的衬底100。
现在参见图6B及图7,在操作705中,额外层604形成在过度沉积部分602的顶面之上。额外层604亦可沉积或形成在过度沉积部分602之上。举例而言,可通过过度沉积部分602的最上部的化学变化而形成额外层604。若过度沉积部分602为铜或铜合金,则受控地曝露于气体之中可形成铜反应物层604。一种实例是可形成卤化铜层604的卤素气体。铜反应物层604扩散到铜过度沉积部分602的表面之内,进而转变成铜过度沉积部分602的顶部。铜的化学变化所需的工艺在本领域中是公知的,例如Nagraj S.Kulkarni and Robert T.DeHoff发表在Journal of Electrochemical Society,149(11)G620-G632,2002上的文章“Application of Volatility for LowTemperature,Dry Etching,and Planarization of Copper”。
在另一实例中,可将额外层604沉积在过度沉积部分602之上。沉积层604可包括沉积在过度沉积部分602之上的聚合物层或氧化层。
现在参见操作710与图6C,施以回蚀工艺,以去除额外层604。也除去过度沉积部分602的一部分。去除额外层604进一步将过度沉积部分602的轮廓软化(即平坦化)成轮廓606’。卤化铜基本上软化过度沉积部分602的外形。卤化铜与铜过度沉积部分602亦维持基本上1∶1的回蚀选择性。可重复进行多次的操作705与操作710,以基本上将过度沉积部分602平坦化成后续的轮廓606’与606”,如图6D所示,直到所得到的轮廓基本平坦为止。
通常,可通过氧化铜活性反应成分界面处的铜,实现使用依赖于形状的化合物制备的铜过度沉积部分602的化学转变。此情况下的铜氧化可包括元素铜到铜化合物的化学变化。其中铜处于正氧化态。举例而言,可在较低温(例如,小于200℃)的氯等离子体中将表面的铜氧化成氯化铜或二氯化铜(CuCl或CuCl2)。
回蚀工艺涉及将此种铜化合物还原成另一化学化合物,其能够在固定的衬底温度下挥发并因而保留剩余的过度沉积部分602’的表面。举例而言,在存在有活性反应氢成分(例如,H2等离子体)的情况下,CuCl2可还原成挥发性的Cu3Cl3。交替依赖于形状的转换能够除去铜过度沉积部分602的大部分,且同时平坦化铜表层602的表面形貌(例如,轮廓),所述转换之后是被转换部分的回蚀。
在操作715中,若过度沉积部分602基本上被平坦化,则此方法的操作结束。或者,若在操作中715,过度沉积部分602未基本平坦,则在上述操作705继续进行此方法的操作。在一实施例中,操作705至715是在单一蚀刻室之内原位地进行。在可替换的实施例中,操作710可以不原位进行且可包括ECD或低向下作用力CMP工艺,以实现如图6D所示的基本平坦的过度沉积部分602’。
图6A至图7所述的方法操作是当作平坦主体的去除过程使用,其进行不平坦的过度沉积部分602的平坦化与去除过度沉积部分602的主体两者。
衬底100、600的局部性平坦化可藉由熟知的数种层厚映射技术中的任何一种或多种加以确定。举例而言,涡流传感器能够映射过度沉积部分112、112’的厚度。涡流传感器(ECS)能够准确测量非常薄层的导电膜(例如,厚度小于1200埃)。准确测量非常薄的层需要如下条件:可归因于衬底中涡流的ECS信号的成分必需被消除、最小化或被补偿。也可以在多步过程中,例如在蚀刻、CMP或沉积过程中,测量非常薄层的导电膜的厚度。举例而言,在CMP过程中,可以将正被处理的衬底从抛光表面移开,并且可移动ECS使其与衬底保持已知的距离,来测量衬底上薄膜的厚度。衬底和/或ECS可以相对于彼此移动,以便ECS能够映射衬底的整个表面,并因此识别衬底表面上金属膜的厚度和位置。
上述图1至图7所示的方法与系统说明了各种基本消除过度沉积部分之中的局部的、与图案有关的不均匀的方法。然而,上述图1至图7所示的方法与系统并非直接针对总体不均匀的修正。总体不均匀可包括衬底的中心处相较于衬底的边缘处的材料的去除速率的变化与其它非局部性现象的不均匀。
图8为本发明的一实施例的修正总体不均匀度的操作方法800的流程图。在操作805中,接收具有局部性不均匀的衬底,该不均匀为例如过度沉积部分之中与特征-图案相关的不均匀。在操作810中,藉由CMP、ECP、或上述图1至图7所示的方法与系统、或本领域已知的任何其它方法基本消除局部性的不均匀。基本去除局部不均匀使得形成基本局部平坦化的过度沉积部分,例如上面图3所示的平坦化的过度沉积部分112’。
图9显示根据本发明一实施例的基本去除了的、平坦化的过度沉积部分902。基本去除了的、平坦化的过度沉积部分902可为厚度仅为数百埃的较薄的过度沉积部分。
在操作815中,映射具有平坦化的过度沉积部分的衬底,以识别且量化平坦化的过度沉积部分的任何总体不均匀。可以使用如上所述本领域已知的数种已知的层厚映射技术的任何一种或多种来映射出平坦化的过度沉积部分。可原位(在目前的处理室之内)或非原位(在目前的处理室之外)进行映射。原位映射过程亦可以为动态的且允许随着后续工艺的进行而动态地调整后续工艺。
在操作820中,如操作815所确定的总体不均匀度的位置与数量,是通过调整蚀刻工艺在基本上无机械性应力的工艺中去除的,以处理检测到的润饰蚀刻工艺之中的总体不均匀的特定需求。举例而言,若剩余的过度沉积部分902的中心厚度约500埃且其边缘厚度约300埃时,则可以调整处理方法,以补偿中心相对于边缘的不均匀度,从而能够同时使整个阻挡层110暴露。由于在回蚀处理期间并不会对衬底施以机械作用力,故无应力工艺避免了上述CMP的问题。
选定的处理方法(例如,选定的工艺变量值)对阻障层110具有选择性(亦即将以比蚀刻铜所用的处理方法更慢的速率蚀刻阻挡层,例如,在这些工艺中铜蚀刻相对于阻挡层蚀刻的典型选择性范围是约大于1、但约小于3),且能够使任何凹陷(例如,特征102、104、106之中的导电材料120的过量去除)最小。
润饰蚀刻对剩余的过度沉积部分902的铜与阻挡层110两者皆具有较慢的蚀刻速率,以使任何特征102、104、106相对于阻挡层110的剩余的高度阻挡之中的凹陷最小。因此,润饰蚀刻对于蚀刻铜并不具极高的选择性。
亦可包括最终回蚀工艺。最终回蚀工艺包括以适当的选择性与均匀度控制来对掩模材料及/或ILD材料回蚀,使得最终结果能够在最少的铜及ILD损失(例如,在最终蚀刻与阻挡层去除工艺结束时,整个衬底的100上的任何铜凹陷皆整体均匀)的情况下提供基本上整体均匀且基本上平坦的特征。在此情况下,最终蚀刻可包括均匀化工艺来以高选择性回蚀掩模材料,从而使铜损失与铜凹陷皆最小。举例而言,卤素浓度低且衬底温度低(例如,小于约200℃)的基于卤素的工艺将维持低的铜蚀刻速率,而同时仍可充分地化学性蚀刻掩模材料。可使用任何等离子体馈入气体,包括卤素活性反应组分(例如,CF4、C2F6、C4F6)。蚀刻速率控制的添加物可包括Ar、O2、CH2F2,亦可包括其它添加物。
若整个衬底上的总体铜凹陷及/或掩模/ILD损失在润饰蚀刻与最终回蚀工艺结束时不均匀,则必须采用参数表中其它变量来修正总体不均匀。举例而言,典型的情况为蚀刻不均匀的结果描述为蚀刻速率中心快或边缘快。在每一个情况中,皆造成整个衬底上的铜凹陷的变化及/或掩模/ILD损失。克服此种变化将可实现补偿,从而在掩模/ILD材料的最终回蚀期间能够利用适当的均匀度与选择性控制,在铜与掩模损失最少的情况下获得总体平坦的特征。中心快的润饰蚀刻工艺导致的衬底中心具有较大的铜凹陷,可用边缘快的最终回蚀工艺加以补偿,边缘快的最终回蚀工艺选择性刻蚀掩模材料使得与特征102、104、106中的铜水平有相同水平。此工艺之中所获得的典型选择性约大于2。用于进行均匀度控制的参数表的变量包括压力、整个衬底的温度变化、离子流量的均匀度控制、气体浓度与处理室壁温。用以控制选择性的变量包括活性反应卤素组分浓度、衬底温度、及偏压功率。
在本发明的上述说明所述的“约”是代表±10%。举例而言,“约250℃”是代表225℃至275℃。应当理解:图5、图7及图8的各操作的顺序并非仅限于所示的,且在不需所述所有的操作的情况下,亦可据以实施本发明。又,图5、图7及图8所述的操作亦可藉由储存于RAM、ROM或计算机或微处理器控制系统(例如,程序控制系统)之中的硬盘等任一个或其组合之中的软件据以实施。
虽然藉由上述各实施例说明本发明,但熟悉本项技艺的人士应清楚了解:只要在不脱离本发明的精神的情况下,可通过任一变化型式据以实施本发明。故本发明的范围是包括上述各实施例及其变化形态。

Claims (24)

1.一种图案化的半导体衬底的平坦化方法,包含以下步骤:
接收图案化的半导体衬底,该半导体衬底具有填满于该图案中的多个特征的导电性互连材料,且该导电性互连材料具有过度沉积部分,该过度沉积部分具有局部性不均匀;
在该过度沉积部分上形成一额外层;及
平坦化该额外层与该过度沉积部分,其中平坦化该额外层与该过度沉积部分包括在不会对所述多个特征施予机械应力的情况下基本消除局部的、与图案相关的不均匀,在该平坦化过程中基本上完全去除该额外层。
2.根据权利要求1的方法,其中平坦化该额外层与该过度沉积部分包括基本消除局部的、与图案有关的不均匀。
3.根据权利要求1的方法,其中该额外层与该过度沉积部分具有基本为1∶1的蚀刻选择性。
4.根据权利要求1的方法,其中基本平坦地形成该额外层。
5.根据权利要求4的方法,其中该额外层为基本平坦的填充材料。
6.根据权利要求4的方法,其中平坦化该额外层与该过度沉积部分包括蚀刻该过度沉积部分的至少一部分以及该额外层。
7.根据权利要求6的方法,还包含第二蚀刻处理,用以使形成在所述图案化特征之上的阻挡层暴露。
8.根据权利要求1的方法,其中在该过度沉积部分上形成额外层包括化学转变该过度沉积部分的顶面及顶部。
9.根据权利要求8的方法,其中化学转变该过度沉积部分的顶面及顶部包括使该过度沉积部分的顶面暴露于反应性气体中。
10.根据权利要求9的方法,其中该反应性气体为卤素。
11.根据权利要求9的方法,其中该额外层为该过度沉积部分的卤化反应产物。
12.根据权利要求8的方法,其中平坦化该额外层与该过度沉积部分包括蚀刻该过度沉积部分的至少一部分以及该额外层。
13.根据权利要求8的方法,其中平坦化该额外层与该过度沉积部分包括一反复的过程,该过程包括:
蚀刻该额外层;
形成第二额外层;及
蚀刻该第二额外层。
14.根据权利要求13的方法,其中原位进行该反复的过程。
15.根据权利要求1的方法,其中导电性互连材料包括铜。
16.根据权利要求1的方法,其中导电性互连材料包括元素铜。
17.根据权利要求1的方法,其中在双道金属镶嵌工艺中使图案形成在图案化的半导体衬底上。
18.一种半导体装置,由包含以下步骤的方法所形成:
接收图案化的半导体衬底,该半导体衬底具有填满于该图案中的多个特征的导电性互连材料,且该导电性互连材料具有过度沉积部分,该过度沉积部分具有局部性不均匀;
在该过度沉积部分上形成一额外层;及
平坦化该额外层与该过度沉积部分,其中平坦化该额外层与该过度沉积部分包括在不会对所述多个特征施予机械应力的情况下基本消除局部的、与图案相关的不均匀,在该平坦化过程中基本上完全去除该额外层。
19.一种双道金属镶嵌互连结构的形成方法,包含以下步骤:
接收双道金属镶嵌图案化的半导体衬底,该半导体衬底具有填满该双道金属镶嵌图案之中的多个特征的导电性互连材料,且该导电性互连材料具有过度沉积部分,该过度沉积部分具有局部性不均匀;
在该过度沉积部分上形成额外层,该额外层基本平坦地被形成;及
蚀刻该过度沉积部分的至少一部分以及该额外层,以基本上平坦化该过度沉积部分,并且该额外层基本上被完全去除。
20.一种双道金属镶嵌互连结构的形成方法,包含以下步骤:
接收双道金属镶嵌图案化的半导体衬底,该衬底具有填满该双道金属镶嵌图案之中的多个特征的导电性互连材料,且该导电性互连材料具有过度沉积部分,该过度沉积部分具有局部性不均匀;
化学转变该过度沉积部分的顶面与顶部,以在该过度沉积部分上形成一额外层;及
平坦化该额外层与该过度沉积部分,在该平坦化过程中该额外层基本被完全去除,而该平坦化过程包括一反复的过程,该反复的过程包括:
蚀刻该额外层;
形成第二额外层;及
蚀刻该第二额外层。
21.根据权利要求20的方法,其中平坦化工艺包括低向下作用力CMP工艺。
22.根据权利要求14的方法,其中该重复过程不原位发生。
23.一种图案化的半导体衬底的平坦化方法,包括以下步骤:
接收图案化的半导体衬底,该半导体衬底具有填满于该图案中的多个特征的导电性互连材料,该导电性互连材料具有过度沉积部分,该过度沉积部分具有局部性不均匀;
在所述过度沉积部分上形成额外层,所述额外层基本平坦地形成;
平坦化所述额外层和该过度沉积部分以基本除去该过度沉积部分的主体部分,包括蚀刻额外层和至少一部分该过度沉积部分以除去该过度沉积部分的块体的至少一部分,该过度沉积部分的剩余部分基本上被平坦化;以及
蚀刻平坦化的过度沉积部分以基本上除去该过度沉积部分。
24.根据权利要求23的方法,其中平坦化该过度沉积部分以基本除去该过度沉积部分的主体部分进一步包括不原位的低向下作用力CMP工艺。
CN2004800069641A 2003-03-14 2004-03-10 改良的局部双道金属镶嵌平坦化系统、方法与设备 Expired - Fee Related CN1823405B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/390,520 2003-03-14
US10/390,520 US6821899B2 (en) 2003-03-14 2003-03-14 System, method and apparatus for improved local dual-damascene planarization
PCT/US2004/007530 WO2004084267A2 (en) 2003-03-14 2004-03-10 System, method and apparatus for improved local dual-damascene planarization

Publications (2)

Publication Number Publication Date
CN1823405A CN1823405A (zh) 2006-08-23
CN1823405B true CN1823405B (zh) 2013-03-13

Family

ID=32962361

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800069641A Expired - Fee Related CN1823405B (zh) 2003-03-14 2004-03-10 改良的局部双道金属镶嵌平坦化系统、方法与设备

Country Status (8)

Country Link
US (1) US6821899B2 (zh)
EP (1) EP1611599A4 (zh)
JP (1) JP2006520541A (zh)
KR (1) KR101094680B1 (zh)
CN (1) CN1823405B (zh)
IL (1) IL170851A (zh)
TW (1) TWI247381B (zh)
WO (1) WO2004084267A2 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7303462B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7540935B2 (en) * 2003-03-14 2009-06-02 Lam Research Corporation Plasma oxidation and removal of oxidized material
JP4671759B2 (ja) * 2005-05-18 2011-04-20 富士通セミコンダクター株式会社 半導体装置の製造方法
EP1845554A3 (en) * 2006-04-10 2011-07-13 Imec A method to create super secondary grain growth in narrow trenches
US8191237B1 (en) 2009-05-21 2012-06-05 Western Digital (Fremont), Llc Method for providing a structure in a magnetic transducer
US8262919B1 (en) 2010-06-25 2012-09-11 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording pole using multiple chemical mechanical planarizations
DK2688485T3 (en) * 2011-03-22 2016-09-26 Chang He Bio-Medical Science (Yangzhou) Co Ltd Medical instruments and methods of preparation thereof
JP2017216443A (ja) * 2016-05-20 2017-12-07 ラム リサーチ コーポレーションLam Research Corporation 再配線層における均一性を実現するためのシステム及び方法
US9842762B1 (en) * 2016-11-11 2017-12-12 Globalfoundries Inc. Method of manufacturing a semiconductor wafer having an SOI configuration
CN110349835B (zh) * 2018-04-04 2022-04-19 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和半导体器件
CN110060928B (zh) * 2019-04-28 2021-09-24 上海华虹宏力半导体制造有限公司 一种改善平坦化工艺中金属挤压缺陷的方法
US12046502B2 (en) 2019-09-09 2024-07-23 Watlow Electric Manufacturing Company Electrostatic puck and method of manufacture
CN112071802B (zh) * 2020-08-31 2023-08-11 上海华力集成电路制造有限公司 晶圆键合工艺中预防空洞缺陷的方法及其装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US20020117758A1 (en) * 2001-01-23 2002-08-29 Shyama Mukherjee Planarizers for spin etch planarization of electronic components and methods of use thereof

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0023146B1 (en) * 1979-07-23 1987-09-30 Fujitsu Limited Method of manufacturing a semiconductor device wherein first and second layers are formed
US5256565A (en) 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
US5098516A (en) 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US6355553B1 (en) * 1992-07-21 2002-03-12 Sony Corporation Method of forming a metal plug in a contact hole
US5387315A (en) 1992-10-27 1995-02-07 Micron Technology, Inc. Process for deposition and etching of copper in multi-layer structures
EP1018149A1 (en) * 1997-09-18 2000-07-12 CVC Products, Inc. Method and apparatus for high-performance integrated circuit interconnect fabrication
US6096230A (en) * 1997-12-29 2000-08-01 Intel Corporation Method of planarizing by polishing a structure which is formed to promote planarization
US5968847A (en) 1998-03-13 1999-10-19 Applied Materials, Inc. Process for copper etch back
US6447668B1 (en) 1998-07-09 2002-09-10 Acm Research, Inc. Methods and apparatus for end-point detection
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6056864A (en) 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6234870B1 (en) 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6383935B1 (en) 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
US6417093B1 (en) * 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing
WO2002059966A1 (en) * 2001-01-23 2002-08-01 Honeywell International Inc. Planarizers for spin etch planarization of electronic components and methods of use thereof
CA2456225A1 (en) * 2001-08-17 2003-02-27 Acm Research, Inc. Forming a semiconductor structure using a combination of planarizing methods and electropolishing
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US6939796B2 (en) * 2003-03-14 2005-09-06 Lam Research Corporation System, method and apparatus for improved global dual-damascene planarization
US6739953B1 (en) * 2003-04-09 2004-05-25 Lsi Logic Corporation Mechanical stress free processing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US20020117758A1 (en) * 2001-01-23 2002-08-29 Shyama Mukherjee Planarizers for spin etch planarization of electronic components and methods of use thereof

Also Published As

Publication number Publication date
IL170851A (en) 2010-05-31
US6821899B2 (en) 2004-11-23
EP1611599A2 (en) 2006-01-04
TWI247381B (en) 2006-01-11
WO2004084267A2 (en) 2004-09-30
WO2004084267A3 (en) 2006-02-23
KR20050107797A (ko) 2005-11-15
EP1611599A4 (en) 2007-06-13
JP2006520541A (ja) 2006-09-07
TW200421548A (en) 2004-10-16
US20040180545A1 (en) 2004-09-16
KR101094680B1 (ko) 2011-12-20
CN1823405A (zh) 2006-08-23

Similar Documents

Publication Publication Date Title
US8017516B2 (en) Method for stress free conductor removal
CN1823405B (zh) 改良的局部双道金属镶嵌平坦化系统、方法与设备
US7083495B2 (en) Advanced process control approach for Cu interconnect wiring sheet resistance control
IL170852A (en) System, method and standard for improved global plannerization of the Damascus-double type
IL176808A (en) Processing by non-pressure combustion combined with dynamic liquid manichas
JP2007073974A (ja) 厚さの計測値を使用した適応型の電解研磨と障壁及び犠牲層の除去
US7129167B1 (en) Methods and systems for a stress-free cleaning a surface of a substrate
KR101149346B1 (ko) 스트레스 없는 버프용 방법 및 시스템
US6645825B1 (en) Planarization of shallow trench isolation (STI)
US20050014454A1 (en) Chemical mechanical polishing (CMP) process using fixed abrasive pads

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: LAM SEMICONDUCTOR EQUIPMENT TECHNOLOGY ( SHANGHAI

Free format text: FORMER OWNER: LAM RESEARCH CORP.

Effective date: 20150106

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; TO: 200000 PUDONG NEW DISTRICT, SHANGHAI

TR01 Transfer of patent right

Effective date of registration: 20150106

Address after: 200000, Shanghai Zhangjiang hi tech park, No. 177 blue wave road, C District, room 1001

Patentee after: Pan Lin semiconductor equipment technology (Shanghai) Co., Ltd.

Address before: American California

Patentee before: Lam Research Corp.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130313

Termination date: 20170310

CF01 Termination of patent right due to non-payment of annual fee