CN1312531C - 光刻掩模制造 - Google Patents

光刻掩模制造 Download PDF

Info

Publication number
CN1312531C
CN1312531C CNB028238478A CN02823847A CN1312531C CN 1312531 C CN1312531 C CN 1312531C CN B028238478 A CNB028238478 A CN B028238478A CN 02823847 A CN02823847 A CN 02823847A CN 1312531 C CN1312531 C CN 1312531C
Authority
CN
China
Prior art keywords
mask
thin layer
refractive index
radiation
absorber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028238478A
Other languages
English (en)
Other versions
CN1656424A (zh
Inventor
P·-Y·严
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1656424A publication Critical patent/CN1656424A/zh
Application granted granted Critical
Publication of CN1312531C publication Critical patent/CN1312531C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一种在光刻成像系统中应用的用于形成半导体晶片的图形的光刻掩模。该光刻掩模包括一个衬底,以及一个在衬底上的吸收体。该吸收体经选择性的刻蚀以形成掩模特征。在一个实施例中,掩模包括一个衬底上的薄层,薄层的厚度和材料产生一个抵消相位误差的相位纠正,因此掩模的共用的工艺窗口被保持在一个阈值水平之上。在另一个实施例中,掩模包括一个多层次反射体,多层次反射体的若干部分在相邻于掩模的特征处被刻蚀。在还有一个实施例中,吸收体的折射率匹配或接近匹配于光刻成像在其中发生的环境的折射率。

Description

光刻掩模制造
技术领域
本发明涉及半导体工艺的光刻掩模的制造。
背景
光刻应用一种图象系统,该图象系统将一种光辐射投射到一个形成图形的掩模上以形成一个图象,然后该图象被投影到涂覆光刻胶的半导体晶片上。
附图说明
图1是在晶片处理中应用掩模的光刻图象系统的框图。
图2A-2E是掩模在制造工艺的不同时期的剖面图。
图3A和3B是晶片的临界尺寸相对于图象系统的散焦的曲线图,分别用于用图2A-2E的工艺制造的掩模的密集的和隔离的图形。
图4A-4G是掩模在制造工艺的不同时期的剖面图,在该工艺中一个薄层被淀积在掩模的反射体上。
图4H是在用图4A-4G的工艺制造的掩模中薄层和反射体之间的界面上的反射的剖面图。
图5是在用图4A-4G的工艺制造的掩模中掩模的反射率相对于薄层的厚度的曲线图。
图6是晶片的临界尺寸相对于图象系统的散焦的曲线图,用于用图4A-4G的工艺制造的掩模的隔离的图形。
图7A-7G是掩模在制造工艺的不同时期的剖面图,在该工艺中一个沟槽被刻蚀成掩模的反射体。
图8是用图7A-7G的工艺制造的掩模的照片。
图9A和9B是晶片的临界尺寸相对于图象系统的散焦的曲线图,分别用于用图7A-7G的工艺制造的掩模的密集的和隔离的图形。
图10是显示光刻过程中的遮蔽效应的示意图。
图11是晶片的临界尺寸相对于被形成图形以在晶片上成像的掩模的临界尺寸的曲线图。
图12A和12B是晶片的临界尺寸相对于图象系统的散焦的曲线图,分别用于用图2A-2E的工艺制造的带有锗制的吸收体的掩模的密集的和隔离的图形。
图13A和13B是晶片的临界尺寸相对于图象系统的散焦的曲线图,分别用于用图2A-2E的工艺制造的带有铝制的吸收体的掩模的密集的和隔离的图形。
图14A和14B是晶片的临界尺寸相对于图象系统的散焦的曲线图,分别用于用图2A-2E的工艺制造的带有铝制的吸收体和硅锗合金制的缓冲体的掩模的密集的和隔离的图形。
在各个图中相同的参考符号指示相同的元件。
详细描述
1)光刻成像系统的概述
光刻应用一种图象系统,该图象系统将一种光辐射投射到一个掩模上以形成一个图形,然后该图形的图象被以例如4∶1的缩减比例投影到涂覆光刻胶的半导体晶片上。在光刻中使用的光辐射可以是任何适当的波长,通过减小波长系统的分辨率得到提高。因为分辨率提高,在半导体晶片上印制更小图形的能力也提高。
一种类型的光刻系统应用的光辐射在极端紫外线(EUV)的波长范围。通常,EUV辐射有1到40纳米(nm)的波长范围,而在光刻中用的EUV辐射有10到14nm的波长范围。用在该区域中的辐射光进行的光刻被认知为EUV光刻(EUVL)。
参考图1,一个EUVL成像系统100包括一个辐射源105,一个缩微光学部分110,一个投影光学部分112,一个掩模台115和一个晶片台120。对于所讨论的情况,该所讨论的装备涉及EUVL成像系统。但是,所叙述的方法和系统不限制于EUVL成像系统。相反,其可以用于任何使用掩模的,在有些情况下使用反射型掩模的光刻成像系统。它们也可以用于使用透射型掩模的光刻成像系统。
辐射源105可以是能产生极端紫外线(EUV)波长范围的辐射的任何光源。适当的辐射源105的一个实例是一种等离子体,当一个诸如1700瓦(W)的脉冲钇-铝石榴石(YAG)固态激光器照射一种气体时就产生该种等离子体,诸如一种氙气超声波发生器。作为另一个实例,适当的辐射源105可以用和同步加速器相关的弯曲磁场和波动器形成。作为还有一个实例,适当的辐射源105可以形成于或开发于各种放电源,该放电源具有提供在所要求的波长范围中的足够的功率的电势。EUV辐射几乎在所有发射材料中被强烈吸收,包括气体和玻璃。由于这个原因,EUV成像要在接近真空的条件下进行。
掩模台115包括一个安装到一个输送台130上的掩模125,该输送台130对该掩模125进行扫描。掩模125可以是平面的,凹面的,凸面的或允许形成图形的任何形状。在一个EUV成像系统中,因为EUV辐射在诸如可以被用于传统的光刻成像系统中的各种透镜的发射材料中被强烈吸收,因此掩模125是反射型的。
晶片台120包括一个安装在一个输送台140的光刻胶覆盖半导体晶片135,该输送台140对晶片135和掩模125同步地进行扫描,并逐步地将晶片135置于从掩模125接收下一个图象的位置。
缩微光学部分110将辐射从源105带到掩模台115。在EUVL成像系统中,因为和EUV辐射相关的吸收,缩微光学部分是反射性的。因此,缩微光学部分110包括将来自源105的辐射收集和聚焦到掩模台115上的缩微反射器或镜子145。可以用任何数量的缩微镜145,诸如图1中的四个。
投影光学部分112减弱了来自掩模台115上的掩模125的图象并在晶片台120上的晶片135上形成图象。在EUVL成像系统中,因为和EUV辐射相关的吸收,投影光学部分是反射性的。因此,投影光学部分112包括将从掩模125反射的辐射投影到晶片的反射器或镜子150。在投影光学部分112中,掩模125的反射光谱可以和镜子的反射光谱匹配。
再参考图2A-2E,通常,反射型的掩模225(图2D-2E)包括一个吸收EUV辐射的带有图形的吸收体230,一个缓冲体235,一个多层(ML)反射器240和一个在下面的掩模底板241。掩模底板241可以是能经受后续的制造工艺的任何的衬底,通常是用有低热膨胀的材料制成,诸如ULETM,由纽约的Coring公司制造的超低膨胀钛硅玻璃等。在一个实施例中,掩模底板241的厚度为0.64cm。在工作中,在掩模225上的任何入射辐射(图2D中由箭头250表示)将被带有图形的吸收体230吸收或被不带有图形的区域中的ML反射体240部分反射(图2D中由箭头255表示)。
如图2A所示,ML反射体240形成在掩模底板241上,并由多层交替的反射材料和传输材料总体构成,当层次的周期大致为在该成像系统中所用的辐射的波长的一半时,这些层次提供一种谐振的反射性能。可以应用若干反射和传输材料的不同组合。在一个实施例中,这些材料可以是钼(Mo)和硅(Si),它们在约2到12nm的厚度上交替分层以产生约50到500nm厚度的ML反射体。
缓冲体235可以是起阻挡层或刻蚀剖面控制器作用的任何材料。在掩模刻蚀和修理过程中缓冲体235保护下面的反射器,同时保证在吸收器上刻蚀的图形清洁和基本垂直。缓冲体235相对地透光,并且应容易选择性地除去或刻蚀。缓冲体235的厚度大多数情况下由对缓冲体的选择性的吸收体刻蚀,对ML反射体的选择性的修理刻蚀以及光学检查对比度确定。在一个实施例中,缓冲体235有从30到50nm的厚度范围,并用二氧化硅(SiO2)制成。在其他实施例中,缓冲体可以用碳(C)或钌(Ru)制成。
吸收体230可以是对在该成像系统中应用的波长上的辐射吸收的并可被选择性地刻蚀的任何适当的材料。吸收体230的厚度大多数情况下由被用作吸收体的材料的辐射吸收确定。吸收体230可以用符合这些标准的任何材料或成分制成,诸如铬(Cr),钽(Ta),氮化钽(TaN)和硼氮化钽(TaBN)等。通常,如果用TaN,吸收体230的厚度可以在50到100nm的范围内。
如图2A所示,掩模225由在掩模底板241上淀积ML反射体240形成。缓冲体235用任何适当的技术诸如物理气相淀积或溅射技术置于ML反射器240的顶部。该技术进行的温度应进行选择以避免对下面的ML反射体240发生改变。接着,吸收体230用任何适当的技术诸如物理气相淀积或溅射技术置于缓冲体235的顶部。
参考图2B和2C,用任何适当的刻蚀技术刻蚀掩模225。通常,在吸收体230的顶部形成一层光刻胶245的图形,通过在未被光刻胶245覆盖的位置刻蚀吸收体230将该图形重复进吸收体230而形成掩模特征247然后光刻胶245被选择性地去除,在未被吸收体230覆盖的位置刻蚀缓冲体235以产生如图2D和2E所示的掩模。经刻蚀的掩模图形如上所述最终被用于用投影光学和缩微光学形成半导体晶片上的图形。在一个实施例中,如上所述,掩模用等离子刻蚀进行刻蚀。
2)光刻成像系统中的相位纠正
掩模225的特征在于其临界尺寸(CD),该临界尺寸通常是特征图形的线宽。一个特征由一个间隔将其从相邻的特征分开,节距或周期是从一个特征图形上的点到相邻特征图形上的同一个点之间的距离或线宽加间隔。掩模的周期性的或密集的特征被限定为掩模的这样的特征,在该特征中线宽等于特征之间的间隔。例如,密集的特征可以有30nm的线宽和60nm的节距。掩模的隔离的或半隔离的特征被限定为掩模的这样的特征,在该特征中线宽小于特征之间的间隔。例如,隔离的特征可以有30nm的线宽和200nm的节距。掩模的特征图形被成像到晶片上,这样晶片的特征也在于其本身的临界尺寸,该临界尺寸和掩模的临界尺寸成比例,但通常是小于掩模的临界尺寸。
如下文所述,在光刻中经常遇到的一个问题是正确印制隔离的特征图形所需要的条件和正确印制密集的特征图形所需要的条件之间的冲突。当分析因为成像系统的散焦而晶片的特征的线宽怎样变化时,这样的冲突可以被认识到或被理解。
在一个反射的掩模(诸如反射掩模225)中,相位误差可以在掩模反射体(诸如反射体240)和吸收体(诸如吸收体230)之间的边界上诱发。这样的相位误差由从掩模反射体反射的辐射和在吸收体和反射体之间的边界上散射的辐射的相互作用而引起。诱发的相位误差引起聚焦上的节距依赖的转变,而焦点是该成像系统的特征。相位误差也引起在隔离特征中表现出来的工艺窗口的倾斜。工艺窗口是成像系统的产生合格的或有用的晶片的焦点和曝光剂量的范围。节距依赖的聚焦转变和工艺窗口倾斜的效应极大地减小了为密集的和隔离的特征图形共用的工艺窗口。
图3A显示了对于有30nm线宽的掩模的密集特征的晶片的线宽305(微米或μm)对成像系统的散焦310(μm)的曲线图300。图3B显示了对于有30nm线宽的掩模的隔离特征的晶片的线宽355(μm)对散焦360(μm)的曲线图350。曲线300,350是掩模225的性能的模拟输出。在这些模拟中,掩模的结构是这样的,衬底用SiO2制成,有28nm的厚度,反射体240用Mo/Si堆叠制成,其中Mo有2.76nm的厚度,Si有4.14nm的厚度,缓冲层被取消了,吸收体用TaN制成,有100nm的厚度。另外,在这些模拟中,辐射波长为13.42nm,成像系统的数字光圈为0.25,例如辐射的相对于掩模表面的法线的入射角(θ)为5°。
曲线300,350的形状取决于对晶片的辐射曝光剂量。在曲线图300,350中明显的是,聚焦存在从图3B中的隔离特征相对于图3A中的密集特征的转变。而且,图3B中的隔离特征的工艺窗口不对称或偏斜。因为这些问题,为隔离特征和密集特征的共用工艺窗口减小了。
为了纠正节距依赖聚焦转变和工艺窗口偏斜的问题以及将共用的工艺窗口保持在适合于半导体晶片成像的阈值水平之上,在制作掩模期间诱发一个相反的相位转变以补偿相位误差,如下文所述。
再参考图4A-4G,掩模425可以用一个在ML反射体440上淀积成一个附加顶层的薄层442而形成。在刻蚀过程中,薄层442在相邻于掩模的特征的部分443被除去。薄层的材料经选择以抵消相位转变。
参考图4A,掩模通过在掩模底板441上淀积ML反射体440,在反射体440上淀积薄层442,在薄层442上淀积缓冲体435和在缓冲体435上淀积吸收体430而制备。淀积技术的实例如上相关于图2A的讨论。
接着,如图4B所示,在吸收体430的顶部形成光刻胶445的图形,以及如图4C所示,通过在光刻胶445未覆盖的位置刻蚀吸收体430而将该图形重复进吸收体430以形成掩模的特征图形447。接着,如图4D所示,光刻胶被选择性地去除,在未由吸收体430覆盖的位置刻蚀缓冲体435。如图4E所示,在薄层442的顶部形成另一个光刻胶455的图形。最后,如图4所示,通过在光刻胶455或吸收体430未覆盖的位置刻蚀薄层442,然后选择性地去除光刻胶455而将该图形重复进薄层442以形成掩模425。
经刻蚀的掩模图形最终将如上所述用投影光学和缩微光学用于形成半导体晶片的图形。在一个实施例中,如上所述,掩模用等离子刻蚀进行刻蚀。
再参考图4H,在从薄层442反射的辐射和从反射体440反射的辐射之间的相位转变(ΔΦ)由下式给出:
Figure C0282384700121
式中λ是来自辐射源的辐射的波长;Δn是nl-nv,此处nl是薄层的折射率的实部,nv是真空的折射率的实部;θ是来自辐射源的辐射的入射角;d是薄层的厚度。
在一个实施例中,薄层用Ru制成,其中Δn=0.11063。在该情况下,如果Ru层的厚度d为3nm,然后,如果辐射的波长λ为13.4nm,则ΔΦ=18°。如另一个实例所示,如果薄层用二氧化硅(SiO2)制成,如果SiO2层的厚度d为3nm,然后Δn=0.02137以及如果辐射的波长λ为13.4nm,则ΔΦ=3.4°。通过和Ru对照,如果用SiO2,就需要16nm的厚度d以得到18°的相位纠正。结果,光将由SiO2的厚层衰减。其他的可以用作薄层442的可能的材料包括例如Mo,钼硅化物(MoSi),或任何其他产生相位纠正,没有不可接受的光衰减数量的材料。
在参考图4F,在相邻于吸收体特征447的位置薄层442被刻蚀。如果薄层用3mm厚的Ru制成,如果Ru被刻蚀成有5nm到20nm(1×)的接近特征447的边缘的宽度,在特征的边缘就产生一个相位误差(10到30度)。该相位误差有和在EUVL成像系统100中诱发的相位误差相反的符号,并且是图形的节距依赖聚焦转变的原由。因此两种相位误差互相抵消,节距依赖聚焦转变被纠正。
图5显示了掩模相对于薄层厚度的反射率的曲线图500,该薄层用Ru淀积在ML反射体440上,该ML反射体440由40对Mo/Si层制成。因为Ru层的厚度505增加到3nm以上,掩模反射率明显减小。如从曲线图中可看到,为了得到大于0.7的反射率,Ru层通常应该具有小于或等于4nm的厚度505。如图所示,随着Ru层有小于3nm的厚度505,反射率能达到0.75的水平。总之,如果Ru有小于4nm的厚度,然后Ru就可刻蚀到具有接近特征的边缘的5nm到30nm(1×)的宽度以得到适当的相位误差。
图6显示了掩模425的掩模性能的模拟结果(曲线600)。这些结果显示了对于各种曝光剂量的线宽605(μm)对散焦610的关系。在这些模拟中,掩模425的结构是这样的,衬底用SiO2制成,有28nm的厚度,反射体440用Mo/Si堆叠制成,其中Mo有2.76nm的厚度,Si有4.14nm的厚度,缓冲层被取消了,吸收体用TaN制成,有100nm的厚度,以及薄层442用Ru制成,有3nm的厚度。另外,在这些模拟中,辐射波长为13.42nm,成像系统的数字光圈为0.25,例如辐射的入射角θ为5°。在掩模特征447的每侧的模宽度为1×比例的16nm,或4×掩模比例的64nm。如在曲线图600中明显的是,隔离特征的聚焦转变和工艺窗口倾斜都被纠正了。因此,掩模的共用工艺窗口被保持在使半导体掩模成像能够进行的水平之上。
再参考图7A-7G,掩模725或765可以这样形成,其中沟槽被直接刻蚀进相邻于掩模的特征图形的反射体740的部分743。用这种方法,直接从部分刻蚀的反射体740产生相位转变。如图所示,掩模725或765包括一个淀积在掩模底板741上的ML反射体740,一个置于ML反射体740上的缓冲体735,以及一个置于缓冲体735上的吸收体730。最初,如图7A所示,掩模通过在掩模底板741上淀积ML反射体740,在反射体740上淀积缓冲体735以及在缓冲体735上淀积吸收体730而制备。具体的淀积技术在上文相关于图2A进行讨论。因为反射体740将被刻蚀,有利的是,在淀积ML反射体中向ML反射体添加几个额外的层次。
接着,如图7B所示,在吸收体730上形成光刻胶745的图形,如图7C所示,通过在未由光刻胶745覆盖的位置刻蚀吸收体730将图形重复进吸收体730以形成掩模特征747。接着,如图7D和7E所示,光刻胶745被选择性地去除,在未由吸收体730覆盖的位置刻蚀缓冲体735。
如图7D所示,两种刻蚀缓冲体735的方法包括以常规的方式(A)刻蚀缓冲体或经刻蚀缓冲体使沟槽739形成在反射体740上(B)。这可以通过在特征747的边缘刻蚀缓冲体比在沿缓冲体的其他位置更快来完成这个工作(被称做微沟槽刻蚀的工艺)。在该点上,如果缓冲体根据第二种方式刻蚀(图7D-B),然后不需附加的抗蚀工艺,掩模765就形成了。如图8所示,在用图7A-7D显示的工艺制造的掩模765的一个部分800的照片中可以看到沟槽739。
如果根据第一方式(图7D-A)刻蚀缓冲体,然后,如图7E所示,在反射体740的顶部形成另一个光刻胶755的图形。如图7F所示,通过在未由光刻胶755或吸收体730覆盖的位置刻蚀反射体740(例如通过约4对ML)将图形重复进反射体740,然后光刻胶755被选择性地除去以形成掩模725。如上所述,经刻蚀的掩模图形最终将通过应用投影光学和缩微光学被用于形成半导体晶片的图形。在一个实施例中,如上所述,用等离子刻蚀进行掩模的刻蚀。
从沟槽和反射体740的经刻蚀的区域反射的辐射和从反射体740的未刻蚀的区域反射的辐射之间在相位转变(ΔΦ)上的差别可以通过在未刻蚀的反射体区域的每个层1(此处反射体的层1可以是反射材料层或传输材料层)计算相位转变ΔΦl,然后将所有层次数的相位转变ΔΦl相加而估计出来:
Δφ = Σ l Δ Φ l = Σ l 4 π λ Δ n l × d l cos θ (弧度)
式中,λ是来自辐射源的辐射的波长,Δnl是nl-nv,式中nl是反射体740的
Δφ = Σ l Δ Φ l = Σ l 4 π λ Δ n l × d l cos θ (弧度)
式中,λ是来自辐射源的辐射的波长,Δnl是nl-nv,式中nl是反射体740的折射率的实部,nv是真空的折射率的实部,dl是多层反射体中的层次的厚度。该等式仅提供所有相位转变的估计值。为了确定实际的相位转变,必须考虑在每层上反射的光的干涉。这样,应用该估计,如果反射体用Mo和Si的多层次制成,如果每层的厚度dl对Si为4.14nm,对Mo为2.76nm,如果如果层次数l=8(或4对Mo/Si),然后对于Mo,Δn1等于0.07257,对于Si,Δnl等于6.7×10-5,如果辐射的波长λ为13.4nm,则ΔΦ等于35°。
再参考图9A和9B,图中给出了对于掩模765的掩模性能的模拟结果(曲线900和950)。这些结果显示对于密集特征的各种曝光剂量的线宽905(μm)对散焦910(μm),以及对于隔离特征的各种曝光剂量的线宽915(μm)对散焦920(μm)的关系。在这些模拟中,掩模765的结构是这样的,衬底用SiO2制成,有28nm的厚度,反射体740用Mo/Si堆叠制成,其中Mo有2.76nm的厚度,Si有4.14nm的厚度,缓冲层被取消了,吸收体用TaN制成,有100nm的厚度,4对ML(约28nm的深度)被刻蚀进反射体740,因此特征747的一面上的沟槽的宽度在1×比例下为6nm,在4×比例下为24nm。还有,在沟槽的厚度和沟槽的宽度之间有一个交替。另外,在这些模拟中,辐射波长为13.42nm,成像系统的数字光圈为0.25,辐射的入射角θ为5°。从曲线900和950很明显的是,隔离特征的聚焦转变和工艺窗口倾斜被纠正。因此,掩模的共用工艺窗口被保持在使半导体掩模成像能够进行的水平之上。
3)吸收体和缓冲体的折射率匹配
在光刻中遇到的另一个问题是掩模制造中因为阴影效应造成的掩模偏斜(在EUVL中这尤其是一个问题)。再参考图10,在光刻过程中因为来自辐射源105的辐射以非直角的角度投射在掩模125上而发生了阴影效应。因为这样,从掩模125反射的辐射不再轮廓清晰或界限确定,其有使特征图形的线宽(LW)大于其实际线宽的效应。因为这样,在晶片135上的相应的目标印制特征1000将比希望的要大。为了保证目标印制特征1000有正确的尺寸,掩模125可以被偏置,因此,例如对于正光刻胶,掩模线宽将做得比其不这样做而将做到的线宽要小。例如,如果目标印制特征1000应是15到20nm,则掩模先宽应是80nm。但是,因为阴影效应,这样的掩模线宽实际上产生30nm的目标印制特征。为了产生15到20nm的目标印制特征1000,掩模线宽必须减小到约50nm。在线宽尺寸上的这样的限制对掩模的制造产生这样的问题,例如可能需要新的工具设备或新的工艺。
为了减小阴影效应,用于吸收体230和缓冲体235的材料要经过选择,它们的折射率(分别为na和nb)和光刻成像在其中进行(通常对于EUVL以及其他技术是在真空或接近真空中进行)的环境的折射率(nv)匹配或接近匹配。真空中的折射率为1。在包括各种气体的空气中,折射率n可以大致为1。在下面的讨论中,为了清楚的目的,假定该环境是真空。折射率的差(Δn=nv-na.b)或百分比差(Δn/nv×100)可以是在na或nb和nv之间接近匹配的一个标准规格。一个通常使用的吸收体材料是TaN,其在13.4nm的波长上的折射率为0.92724。对于TaN,折射率差Δn为0.07276,百分比差为7.3%。可以使用的缓冲体材料的一种类型是Ru,其在13.4nm的波长上的折射率为0.88984。对于Ru,折射率差Δn为0.11016,百分比差为11.0%。另一种通常使用的吸收体材料是铬(Cr),其在13.4nm的波长上的折射率为0.93333。对于Cr,折射率差Δn为0.06667,百分比差为6.7%。
如果材料的折射率和真空的折射率之间的百分比差小于5%,则材料的折射率接近于匹配真空的折射率。对于缓冲体235的满足这些标准的材料包括铝,锗,硅或这些材料的混合物,诸如硅锗合金(SiG)或铝硅合金(AlSi)。相似地,对于吸收体230的满足这些标准的材料包括铝,锗,硅或这些材料的混合物,诸如硅锗合金(SiG)或铝硅合金(AlSi)。虽然Si在EUV波长上有较低的吸收系数,但用Si制造的化合物可以被用作缓冲体235和吸收体230的材料。例如,铝在13.4nm的波长上有1.00253的折射率,其导致的百分比差约为0.3%。作为另一个实例,Ge在13.4nm的波长上有0.00539的折射率,其导致的百分比差约为0.5%。
用这些材料制作的缓冲体235可以用低温溅射工艺(例如相关于图2A讨论的工艺)淀积在反射体240上。相似地,用这些材料制作的吸收体230可以用低温溅射工艺(例如相关于图2A讨论的工艺)淀积在反射体240上。吸收体230和缓冲体235可以用Cl2或F2基干法刻蚀化学过程刻蚀(例如相关于图2C和2D讨论的工艺)。
图11显示了缓冲体135的线宽(μm)对为晶片成像的掩模125的线宽(μm)的曲线(1×的比例),对于TaN(通常用于掩模吸收体230的材料)为曲线1100,对于A1(通常用于有接近匹配的折射率的掩模吸收体230的材料)为曲线1105。图11也显示了一个表示晶片线宽和掩模线宽之间的最佳关系的曲线1110。这些曲线通过一个模拟产生,该模拟假定晶片的曝光剂量对于TaN和A1掩模吸收体都相同。如果掩模吸收体用TaN制成,为印制20nm的晶片线宽需要12nm(1×)或52nm(4×)的掩模线宽。作为对比,如果掩模吸收体用A1制成,为印制20nm的晶片线宽需要18nm(1×)或72nm(4×)的掩模线宽。
如果晶片曝光剂量变化,所需要的掩模线宽也相应变化。然而,对于一个目标晶片线宽,A1吸收体掩模和TaN吸收体掩模的线宽之间的差保持相同。这样,例如,对于给出的目标晶片线宽和曝光剂量,所需要的A1吸收体掩模线宽将总是大于所需要的TaN吸收体掩模线宽约20nm。
选择其折射率匹配或接近匹配真空折射率的吸收体230和缓冲体235的材料的另一个好处是,在隔离特征和密集特征中工艺窗口的倾斜和聚焦的转变相关于什么时候应用TaN吸收体而部分得到纠正。该效应显示在图12A到14B,并可以和图3A和3B进行比较。如上所述,图3A显示了对于密集的并有30nm线宽的掩模特征,晶片线宽305对散焦310的曲线300。图3B显示了对于隔离的并有30nm线宽的掩模特征,晶片线宽355对散焦360的曲线350。线宽305,355对几种不同的曝光剂量(1-13)进行了模拟。在这些模拟中,掩模225的结构是这样的,缓冲体层被取消,吸收体用TaN制成,有100nm的厚度。另外,在这些模拟中,辐射波长为13.42nm,成像系统的数字光圈为0.25,辐射的入射角θ为5°。
图12A显示了对于密集的并有30nm线宽的掩模特征,晶片线宽1205对散焦1210的曲线1200。图12B显示了对于隔离的并有30nm线宽的掩模特征,晶片线宽1255对散焦1260的曲线1250。线宽1205,1255对几种不同的曝光剂量(1-13)进行了模拟。在这些模拟中,掩模225的结构是这样的,缓冲体层被取消,吸收体用Ge制成,有100nm的厚度。另外,在这些模拟中,辐射波长为13.42nm,成像系统的数字光圈为0.25,辐射的入射角θ为5°。
图13A显示了对于密集的并有30nm线宽的掩模特征,晶片线宽1305对散焦1310的曲线1300。图13B显示了对于隔离的并有30nm线宽的掩模特征,晶片线宽1355对散焦1360的曲线1350。线宽1305,1355对几种不同的曝光剂量(1-13)进行了模拟。在这些模拟中,掩模225的结构是这样的,缓冲体层被取消,吸收体用A1制成,有100nm的厚度。另外,在这些模拟中,辐射波长为13.42nm,成像系统的数字光圈为0.25,辐射的入射角θ为5°。
图14A显示了对于密集的并有30nm线宽的掩模特征,晶片线宽1405对散焦1410的曲线1400。图14B显示了对于隔离的并有30nm线宽的掩模特征,晶片线宽1455对散焦1460的曲线1450。线宽1405,1455对几种不同的曝光剂量(1-13)进行了模拟。在这些模拟中,掩模225的结构是这样的,缓冲体层用SiGe制成,有30nm的厚度,吸收体用A1制成,有80nm的厚度。另外,在这些模拟中,辐射波长为13.42nm,成像系统的数字光圈为0.25,辐射的入射角θ为5°。
从这些曲线很明显的是,和使用一种TaN,非接近匹配的折射率的材料相比较,当一个匹配的或接近匹配的折射率被用于掩模中的吸收体和缓冲体时,在隔离的和密集的特征中的工艺窗口倾斜和聚焦转变至少部分得到纠正。
其他实施例也在下文的权利要求的范围之中。例如,光刻成像系统可以使用一种其波长大于极端紫外线的辐射源,成像可以在经减小的环境下或适合于辐射波长的环境下进行。在这种情况下,吸收体或缓冲体可以匹配于或接近匹配于在光刻成像系统中应用的该环境的折射率。
如上所述,光刻成像系统可以使用一种传输性的掩模。在这种情况下,掩模包括一个在衬底上的形成图形的吸收体,该吸收体吸收掩模在该波长上被形成图形的波长的辐射。传输性的掩模在衬底上可以包括一个成像层或一个缓冲体,这样吸收体不直接淀积在衬底上。在成像过程中,图象被投影到晶片上。
在任何情况下,掩模在制作时都可以带有或不带有一个缓冲体。

Claims (54)

1.一种制造光刻掩模的方法,该方法包括:
在一个衬底上形成一种材料的一个薄层;
在该薄层上形成一个吸收体;和
选择性地刻蚀该吸收体以形成掩模特征;
其中所述薄层部分地覆盖于衬底上,该薄层的位置、厚度和材料经选择以产生一个抵消相位误差的相位纠正,这样,掩模的一个共用的工艺窗口被保持在一个阈值水平之上。
2.如权利要求1所述的方法,其特征在于,其中相位纠正由被用于形成掩模的图象的辐射的波长,辐射的入射角,淀积薄层的厚度以及该薄层的折射率值确定。
3.如权利要求2所述的方法,其特征在于,其中折射率值等于薄层的折射率减去掩模成像在其中发生的环境的折射率。
4.如权利要求3所述的方法,其特征在于,其中掩模成像在其中发生的环境是真空或接近真空。
5.如权利要求1所述的方法,进一步包括在衬底上形成一个多层反射体,
其中:
薄层的厚度和材料经选择,因此掩模的反射率被保持在一个阈值水平之上,和
在衬底上形成薄层包括在该多层反射体上形成薄层。
6.如权利要求5所述的方法,其特征在于,其中相位纠正ΔΦ等于:
Figure C028238470002C1
式中λ是用于成像掩模的辐射的波长,d是淀积薄层的厚度,θ是成像辐射相关于掩模法线的入射角,以及Δn等于薄层的折射率减去掩模成像在其中发生的环境的折射率。
7.如权利要求5所述的方法,其特征在于,其中多层反射体包括交替的反射材料和传输材料的多层次,其提供谐振的反射率。
8.如权利要求7所述的方法,其特征在于,其中反射材料包括钼,传输材料包括硅。
9.如权利要求7所述的方法,进一步包括在薄层上淀积一个缓冲体,该缓冲体在掩模刻蚀和修理过程中起保护反射体的作用。
10.如权利要求1所述的方法,其特征在于,其中衬底包括一种具有低热膨胀的材料。
11.如权利要求1所述的方法,其特征在于,其中衬底包括超低膨胀的钛硅玻璃。
12.如权利要求1所述的方法,其特征在于,其中吸收体包括一种对在用于形成掩模的图象的波长上的辐射有吸收性的材料。
13.如权利要求1所述的方法,进一步包括在相邻于掩模的特征的部分选择性地刻蚀薄层。
14.如权利要求13所述的方法,其特征在于,其中薄层的经选择性刻蚀的部分形成一个沟槽,该沟槽有一个宽度,该宽度取决于薄层的厚度和薄层的材料。
15.如权利要求14所述的方法,其特征在于,其中薄层包括钌,该钌以小于4nm的厚度淀积并具有掩模规模中的5到30nm范围内的宽度。
16.如权利要求1所述的方法,其特征在于,其中薄层包括钌。
17.一种光刻掩模包括:
一个衬底;
一个在衬底上的薄层,薄层的厚度和材料产生一个抵消相位误差的相位纠正,因此掩模的一个共用的工艺窗口被保持在一个阈值水平之上;和
一个在薄层上的吸收体;
其中吸收体经选择性的刻蚀以形成掩模特征。
18.如权利要求17所述的掩模,其特征在于,其中相位纠正由被用于形成掩模的图象的辐射的波长,辐射的入射角,淀积薄层的厚度以及该薄层的折射率值确定。
19.如权利要求18所述的掩模,其特征在于,其中折射率值等于薄层的折射率减去掩模成像在其中发生的环境的折射率。
20.如权利要求19所述的掩模,其特征在于,其中掩模成像在其中发生的环境是真空或接近真空。
21.如权利要求17所述的掩模,进一步包括在衬底上的一个多层反射体,
其中:
薄层的厚度和材料经选择,因此掩模的反射率被保持在一个阈值水平之上,和
在衬底上的薄层形成在该多层反射体上。
22.如权利要求21所述的掩模,其特征在于,其中相位纠正ΔΦ等于:
式中λ是用于成像掩模的辐射的波长,d是淀积薄层的厚度,θ是成像辐射相关于掩模法线的入射角,以及Δn等于薄层的折射率减去掩模成像在其中发生的环境的折射率。
23.如权利要求21所述的掩模,其特征在于,其中多层反射体包括交替的反射材料和传输材料的多层次,其提供谐振的反射率。
24.如权利要求23所述的掩模,其特征在于,其中反射材料包括钼,传输材料包括硅。
25.如权利要求24所述的掩模,进一步包括在薄层上淀积的一个缓冲体,该缓冲体在掩模刻蚀和修理过程中起保护反射体的作用。
26.如权利要求17所述的掩模,其特征在于,其中衬底包括一种具有低热膨胀的材料。
27.如权利要求17所述的掩模,其特征在于,其中衬底包括超低膨胀的钛硅玻璃。
28.如权利要求17所述的掩模,其特征在于,其中吸收体包括一种对在用于形成掩模的图象的波长上的辐射有吸收性的材料。
29.如权利要求17所述的掩模,其特征在于,其中薄层在相邻于掩模的特征的部分选择性地进行刻蚀。
30.如权利要求29所述的掩模,其特征在于,其中薄层的经选择性刻蚀的部分形成一个沟槽,该沟槽有一个宽度,该宽度取决于薄层的厚度和薄层的材料。
31.如权利要求30所述的掩模,其特征在于,其中薄层包括钌,该钌以小于4nm的厚度淀积并具有掩模规模中的5到30nm范围内的宽度。
32.如权利要求17所述的掩模,其特征在于,其中薄层包括钌。
33.一种制造光刻掩模的方法,该方法包括:
在一个衬底上形成一个多层次的反射体;
在该多层次的反射体上形成一个吸收体;
选择性地刻蚀该吸收体以形成掩模特征;和
在相邻于掩模特征的部分刻蚀该多层次反射体的至少若干部分以产生一个抵消相位误差的相位纠正,这样,掩模的一个共用的工艺窗口被保持在一个阈值水平之上。
34.如权利要求33所述的方法,其特征在于,其中相位纠正由被用于形成掩模的图象的辐射的波长,辐射的入射角,多层次反射体的被刻蚀部分的厚度以及该多层次反射体的折射率值确定。
35.如权利要求34所述的方法,其特征在于,其中折射率值等于多层次反射体的折射率减去掩模成像在其中发生的环境的折射率。
36.如权利要求35所述的方法,其特征在于,其中掩模成像在其中发生的环境是真空或接近真空。
37如权利要求33所述的方法,其特征在于,其中多层反射体包括交替的反射材料和传输材料的多层次,其提供谐振的反射率。
38.如权利要求37所述的方法,其特征在于,其中反射材料包括钼,传输材料包括硅。
39.如权利要求33所述的方法,其特征在于,其中衬底包括一种具有低热膨胀的材料。
40.如权利要求33所述的方法,其特征在于,其中衬底包括超低膨胀的钛硅玻璃。
41.如权利要求33所述的方法,其特征在于,其中吸收体包括一种对在用于形成掩模的图象的波长上的辐射有吸收性的材料。
42.如权利要求33所述的方法,进一步包括在多层反射体上淀积一个缓冲体,该缓冲体在掩模刻蚀和修理过程中起保护多层反射体的作用。
43.如权利要求33所述的方法,其特征在于,其中多层反射体的经刻蚀的部分形成一个沟槽,该沟槽有一个宽度,该宽度取决于多层反射体刻蚀的深度和多层反射体的材料。
44.一种光刻掩模包括:
一个衬底;
一个在衬底上的多层反射体;和
一个在多层反射体上的吸收体,该吸收体经刻蚀以形成掩模特征;
其中多层反射体的若干部分在相邻于掩模的特征处经刻蚀以产生一个抵消相位误差的相位纠正,这样,掩模的一个共用的工艺窗口被保持在一个阈值水平之上。
45.如权利要求44所述的掩模,其特征在于,其中相位纠正由被用于形成掩模的图象的辐射的波长,辐射的入射角,多层次反射体的被刻蚀部分的厚度以及该多层次反射体的折射率值确定。
46.如权利要求45所述的方法,其特征在于,其中折射率值等于多层次反射体的折射率减去掩模成像在其中发生的环境的折射率。
47.如权利要求46所述的掩模,其特征在于,其中掩模成像在其中发生的环境是真空或接近真空。
48.如权利要求44所述的掩模,其特征在于,其中多层反射体包括交替的反射材料和传输材料的多层次,其提供谐振的反射率。
49.如权利要求48所述的掩模,其特征在于,其中反射材料包括钼,传输材料包括硅。
50.如权利要求44所述的掩模,其特征在于,其中衬底包括一种具有低热膨胀的材料。
51.如权利要求44所述的掩模,其特征在于,其中衬底包括超低膨胀的钛硅玻璃。
52.如权利要求44所述的掩模,其特征在于,其中吸收体包括一种对在用于形成掩模的图象的波长上的辐射有吸收性的材料。
53.如权利要求44所述的掩模,进一步包括在多层反射体上淀积的一个缓冲体,该缓冲体在掩模刻蚀和修理过程中起保护多层反射体的作用。
54.如权利要求44所述的掩模,其特征在于,其中多层反射体的经刻蚀的部分形成一个沟槽,该沟槽有一个宽度,该宽度取决于多层反射体刻蚀的深度和多层反射体的材料。
CNB028238478A 2001-10-03 2002-10-02 光刻掩模制造 Expired - Fee Related CN1312531C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/969,156 2001-10-03
US09/969,156 US6818357B2 (en) 2001-10-03 2001-10-03 Photolithographic mask fabrication

Publications (2)

Publication Number Publication Date
CN1656424A CN1656424A (zh) 2005-08-17
CN1312531C true CN1312531C (zh) 2007-04-25

Family

ID=25515257

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028238478A Expired - Fee Related CN1312531C (zh) 2001-10-03 2002-10-02 光刻掩模制造

Country Status (6)

Country Link
US (1) US6818357B2 (zh)
EP (2) EP1433026A2 (zh)
CN (1) CN1312531C (zh)
AU (1) AU2002330200A1 (zh)
TW (1) TW567535B (zh)
WO (1) WO2003029897A2 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6875543B2 (en) * 2002-09-27 2005-04-05 Euv Limited Liability Corporation Etched-multilayer phase shifting masks for EUV lithography
TW200534050A (en) * 2004-02-09 2005-10-16 Zeiss Carl Smt Ag Mask for use in a microlithographic projection exposure system
DE102004031079B4 (de) * 2004-06-22 2008-11-13 Qimonda Ag Verfahren zur Herstellung einer Reflexionsmaske
JP4946296B2 (ja) 2006-03-30 2012-06-06 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法
US7790338B2 (en) * 2007-05-16 2010-09-07 Micron Technology, Inc. Optical compensation devices, systems, and methods
DE102007028172B3 (de) * 2007-06-20 2008-12-11 Advanced Mask Technology Center Gmbh & Co. Kg EUV-Maske und Verfahren zur Reparatur einer EUV-Maske
US20090097004A1 (en) * 2007-10-16 2009-04-16 Qimonda Ag Lithography Apparatus, Masks for Non-Telecentric Exposure and Methods of Manufacturing Integrated Circuits
KR101076886B1 (ko) * 2009-06-22 2011-10-25 주식회사 하이닉스반도체 극자외선 리소그래피를 위한 마스크 및 이를 이용한 노광방법
TWI467318B (zh) 2009-12-04 2015-01-01 Asahi Glass Co Ltd An optical member for EUV microfilm, and a method for manufacturing a substrate with a reflective layer for EUV microfilm
JP5699938B2 (ja) 2009-12-09 2015-04-15 旭硝子株式会社 Euvリソグラフィ用多層膜ミラーおよびその製造方法
KR20130007533A (ko) 2009-12-09 2013-01-18 아사히 가라스 가부시키가이샤 Euv 리소그래피용 광학 부재
CN103026296B (zh) * 2010-07-27 2014-12-10 旭硝子株式会社 Euv光刻用带反射层的基板和euv光刻用反射型掩模底版
JP5932498B2 (ja) * 2012-06-05 2016-06-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法およびマスク
US8663878B2 (en) * 2012-07-05 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the same
JP6070085B2 (ja) * 2012-11-07 2017-02-01 富士通セミコンダクター株式会社 反射型マスク及び反射型マスクの製造方法
US9003337B2 (en) * 2012-11-08 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods of local focus error compensation for semiconductor processes
US9551924B2 (en) 2015-02-12 2017-01-24 International Business Machines Corporation Structure and method for fixing phase effects on EUV mask
US9588440B2 (en) * 2015-02-12 2017-03-07 International Business Machines Corporation Method for monitoring focus in EUV lithography

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11305417A (ja) * 1998-04-24 1999-11-05 Hitachi Ltd 露光方法および反射型マスク
US6007324A (en) * 1977-10-23 1999-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Double layer method for fabricating a rim type attenuating phase shifting mask
US6048652A (en) * 1998-12-04 2000-04-11 Advanced Micro Devices, Inc. Backside polish EUV mask and method of manufacture
US6178221B1 (en) * 1998-12-04 2001-01-23 Advanced Micro Devices, Inc. Lithography reflective mask
US6294295B1 (en) * 2000-03-06 2001-09-25 Taiwan Semiconductor Manufacturing Company Variable transmittance phase shifter to compensate for side lobe problem on rim type attenuating phase shifting masks

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5372916A (en) 1991-09-12 1994-12-13 Hitachi, Ltd. X-ray exposure method with an X-ray mask comprising phase shifter sidewalls
US5304437A (en) 1992-04-03 1994-04-19 At&T Bell Laboratories Mask for x-ray pattern delineation
US5700602A (en) 1992-08-21 1997-12-23 Intel Corporation Method and apparatus for precision determination of phase-shift in a phase-shifted reticle
US5465859A (en) 1994-04-28 1995-11-14 International Business Machines Corporation Dual phase and hybrid phase shifting mask fabrication using a surface etch monitoring technique
US5958629A (en) 1997-12-22 1999-09-28 Intel Corporation Using thin films as etch stop in EUV mask fabrication process
US5935737A (en) 1997-12-22 1999-08-10 Intel Corporation Method for eliminating final euv mask repairs in the reflector region
US6316150B1 (en) * 1998-08-24 2001-11-13 Euv Llc Low thermal distortion extreme-UV lithography reticle
US6258489B1 (en) 1999-07-09 2001-07-10 Micron Technology, Inc. Mask design utilizing dummy features
AU5932500A (en) * 1999-07-22 2001-02-13 Corning Incorporated Extreme ultraviolet soft x-ray projection lithographic method and mask devices
US6562522B1 (en) * 1999-10-29 2003-05-13 Intel Corporation Photomasking

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6007324A (en) * 1977-10-23 1999-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Double layer method for fabricating a rim type attenuating phase shifting mask
JPH11305417A (ja) * 1998-04-24 1999-11-05 Hitachi Ltd 露光方法および反射型マスク
US6048652A (en) * 1998-12-04 2000-04-11 Advanced Micro Devices, Inc. Backside polish EUV mask and method of manufacture
US6178221B1 (en) * 1998-12-04 2001-01-23 Advanced Micro Devices, Inc. Lithography reflective mask
US6294295B1 (en) * 2000-03-06 2001-09-25 Taiwan Semiconductor Manufacturing Company Variable transmittance phase shifter to compensate for side lobe problem on rim type attenuating phase shifting masks

Also Published As

Publication number Publication date
US20030064296A1 (en) 2003-04-03
EP1433026A2 (en) 2004-06-30
EP1586943A3 (en) 2007-12-05
AU2002330200A1 (en) 2003-04-14
WO2003029897A3 (en) 2004-01-15
US6818357B2 (en) 2004-11-16
TW567535B (en) 2003-12-21
WO2003029897A2 (en) 2003-04-10
EP1586943A2 (en) 2005-10-19
CN1656424A (zh) 2005-08-17

Similar Documents

Publication Publication Date Title
CN1312531C (zh) 光刻掩模制造
EP1421443B1 (en) Damascene extreme ultraviolet lithography alternative phase shift photomask and method of making
US20060222961A1 (en) Leaky absorber for extreme ultraviolet mask
US20070090084A1 (en) Reclaim method for extreme ultraviolet lithography mask blank and associated products
US6645679B1 (en) Attenuated phase shift mask for use in EUV lithography and a method of making such a mask
US6756163B2 (en) Re-usable extreme ultraviolet lithography multilayer mask blank
US7073969B2 (en) Method for fabricating a photomask for an integrated circuit and corresponding photomask
EP0710890B1 (en) Device fabrication using DUV/EUV pattern delineation
US20030082460A1 (en) Photolithographic mask fabrication
US20230367197A1 (en) Mask Defect Prevention
US9244366B2 (en) Extreme ultraviolet lithography process and mask
US6593037B1 (en) EUV mask or reticle having reduced reflections
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
US6500587B1 (en) Binary and attenuating phase-shifting masks for multiple wavelengths
US11982936B2 (en) Photomask and method of fabricating a photomask
US6830851B2 (en) Photolithographic mask fabrication
JP2006194764A (ja) 多層膜反射鏡および露光装置
US8673521B2 (en) Blank substrates for extreme ultra violet photo masks and methods of fabricating an extreme ultra violet photo mask using the same
US7745070B2 (en) Structure of a lithography mask
KR20140016662A (ko) 극자외선 리소그래피용 마스크 및 그 제조방법, 마스크 정렬도 에러 보정방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070425

Termination date: 20131002