CN118140307A - 图案化节距线的结构和方法 - Google Patents

图案化节距线的结构和方法 Download PDF

Info

Publication number
CN118140307A
CN118140307A CN202280071008.XA CN202280071008A CN118140307A CN 118140307 A CN118140307 A CN 118140307A CN 202280071008 A CN202280071008 A CN 202280071008A CN 118140307 A CN118140307 A CN 118140307A
Authority
CN
China
Prior art keywords
mandrels
width
layer
self
mandrel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280071008.XA
Other languages
English (en)
Inventor
C·朴
刘其俊
S·齐格
Y·米格诺特
K·莫托亚马
陈学忠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN118140307A publication Critical patent/CN118140307A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种半导体制造方法,其包括形成多个第一芯轴作为多层硬掩模的顶层,及在多个第一芯轴中的每个周围形成第一间隔物。去除多个第一芯轴并切割第一间隔物以形成多个第二芯轴。在多个第二芯轴中的每个周围形成第二间隔物,且形成包含多个第三芯轴的第一自对准图案。去除多个第二芯轴及第二间隔物且蚀刻多层硬掩模以将第一自对准图案转移到多层硬掩模的下层。形成第二自对准图案,其中第二自对准图案与第一自对准图案混合,以及将第一自对准图案和第二自对准图案蚀刻到导电金属层中。

Description

图案化节距线的结构和方法
技术领域
本发明大致涉及节距线领域,尤其涉及形成节距等于或小于30nm的节距线。
背景技术
亚15nm节距的后段制程(BEOL)自对准光-刻蚀-光刻-刻蚀(SALELE)需要可靠的亚30nm节距的芯轴图案化工艺,这是尚不可用的。当BEOL节距变得小于13nm时,SALELE将是极其有挑战性的。在芯轴中形成的缺陷的数量的小规模的增加,因此可靠地提供具有等于或小于30nm的节距的芯轴还未实现。
发明内容
另外的方面和/或优点将在随后的描述中部分地阐述,并且部分地将从描述中显而易见,或者可以通过本发明的实践而获知。
一种半导体器件,包括多个导电金属芯轴,其中芯轴具有小于15nm的节距,其中多个导电芯轴包括具有恒定宽度的多个芯轴和具有变化宽度的多个芯轴。
一种方法,包括形成多个导电金属芯轴,其中芯轴具有小于15nm的节距,其中多个导电芯轴包含具有恒定宽度的多个芯轴及具有变化宽度的多个芯轴。
一种半导体制造方法,包括在导电金属层的顶部形成多层硬掩模。形成多个第一芯轴作为多层硬掩模的顶层,且在多个第一芯轴中的每个周围形成第一间隔物。去除多个第一芯轴且切割位于多个第一芯轴中的每个周围的第一间隔物以形成多个第二芯轴。在多个第二芯轴中的每个周围形成第二间隔物,且形成包含多个第三芯轴的第一自对准图案,其中第一自对准图案包含具有恒定宽度的多个第三芯轴及具有变化宽度的多个第三芯轴。去除多个第二芯轴及第二间隔物且蚀刻多层硬掩模以将第一自对准图案转移到多层硬掩模的下层。形成第二自对准图案,其中第二自对准图案与第一自对准图案混合,以及将第一自对准图案和第二自对准图案蚀刻到导电金属层中。
附图说明
从下面结合附图的描述中,本发明的某些示例性实施例的上述和其它方面、特征和优点将变得更加明显,其中:
图1示出了根据本发明的实施例的多层器件的俯视图。
图2示出了根据本发明实施例的多层器件的横截面A。
图3说明根据本发明的实施例在围绕第一芯轴形成间隔物之后多层器件的俯视图。
图4说明根据本发明的实施例在围绕第一芯轴形成间隔物之后多层器件的横截面A。
图5说明根据本发明实施例的在去除第一芯轴之后的多层器件的俯视图。
图6示出了根据本发明实施例的在去除第一芯轴之后的多层器件的横截面A。
图7示出了根据本发明实施例的在去除部分间隔物之后的多层器件的俯视图。
图8示出了根据本发明实施例的在去除部分间隔物之后的多层器件的截面A。
图9示出了根据本发明实施例的在形成第二间隔物之后的多层器件的俯视图。
图10示出了根据本发明实施例的在形成间隔物之后的多层器件的横截面A。
图11示出了根据本发明实施例的在形成第一图案之后的多层器件的俯视图。
图12示出了根据本发明实施例的形成第一图案之后的多层器件的横截面A。
图13示出了根据本发明实施例的在去除第二芯轴和第二间隔物之后的多层器件的俯视图。
图14示出了根据本发明实施例的在去除第二芯轴和第二间隔物之后的多层器件的横截面A。
图15示出了根据本发明实施例的在将第一图案转移到第四层中之后的多层器件的俯视图。
图16示出了根据本发明实施例的在将第一图案转移到第四层中之后的多层器件的横截面A。
图17示出了根据本发明实施例的在形成第三间隔物之后的多层器件的俯视图。
图18示出了根据本发明实施例的在形成第三间隔物之后的多层器件的横截面A。
图19示出了根据本发明实施例的在形成第二图案之后的多层器件的俯视图。
图20示出了根据本发明实施例的形成第二图案之后的多层器件的横截面A。
图21示出了根据本发明实施例的在去除第三间隔物之后的多层器件的俯视图。
图22示出了根据本发明实施例的在去除第三间隔物之后的多层器件的横截面A。
图23示出了根据本发明实施例的在转移到第一层中的图案中之后的多层器件的俯视图。
图24示出了根据本发明实施例的在转移到第一层中的图案中之后的多层器件的横截面A。
具体实施方式
提供参考附图的以下描述以帮助全面理解如由权利要求书及其等效物界定的本发明的示范性实施例。它包括各种具体细节以帮助理解,但是这些细节应被认为仅仅是示例性的。因此,本领域的普通技术人员将认识到,在不脱离本发明的范围的情况下,可以对本文所述的实施例进行各种改变和修改。另外,为了清楚和简明,可以省略对公知功能和结构的描述。
在以下描述和权利要求中使用的术语和词语不限于书目含义,而仅仅用于使得能够清楚和一致地理解本发明。因此,对于本领域技术人员来说,很明显,本发明的示例性实施例的下列描述仅是为了说明的目的,而不是为了限制由所附权利要求及其等同物所限定的本发明。
应当理解,单数形式“一”、“一个”和“该”包括复数指代物,除非上下文另外清楚地指明。因此,例如,除非上下文另外清楚地指明,否则提及“部件表面”包括提及一个或多个此类表面。
这里公开了所要求保护的结构和方法的详细实施例:然而,可以理解,所公开的实施例仅仅是对可以以各种形式实施的所要求保护的结构和方法的说明。然而,本发明可以以许多不同的形式实施,并且不应被解释为限于这里阐述的示例性实施例。相反,提供这些示例性实施例是为了使本公开透彻和完整,并将本发明的范围完全传达给本领域技术人员。在描述中,可省略众所周知的特征和技术的细节以避免不必要地混淆本发明实施例。
说明书中对“一个实施例”、“示例实施例”等的引用指示所描述的实施例可以包括特定特征、结构或特性,但是每个实施例可以不包括该特定特征、结构或特性。此外,这些短语不一定是指相同的实施例。此外,当结合实施例描述特定特征、结构或特性时,认为无论是否明确描述,结合其它实施例来影响这种特征、结构或特性在本领域普通技术人员的知识范围内。
为了下文描述的目的,术语“上”、“下”、“右”、“左”、“垂直”、“水平”、“顶部”、“底部”及其派生词应涉及所公开的结构和方法,如附图中所定向的。术语“覆盖”、“在顶部上”、“定位在”或“定位在顶部”表示第一元件例如第一结构存在于第二元件例如第二结构上,其中中间元件例如界面结构可存在于第一元件和第二元件之间。术语“直接接触”是指第一元件(例如第一结构)和第二元件(例如第二结构)在两个元件的界面处没有任何中间导电、绝缘或半导体层的情况下连接。
为了不模糊本发明的实施例的呈现,在以下详细描述中,本领域已知的一些处理步骤或操作可以被组合在一起以用于呈现和用于说明目的,并且在一些实例中可以不被详细描述。在其它情况下,可能根本不描述本领域已知的一些处理步骤或操作。应当理解,下面的描述更集中于本发明的各种实施例的区别特征或元件。
在此参考相关附图描述本发明的各种实施例。在不脱离本发明的范围的情况下,可以设计出替代实施例。注意,在以下描述和附图中的元件之间阐述了各种连接和位置关系(例如,上方、下方、相邻等)。除非另有说明,这些连接和/或位置关系可以是直接的或间接的,并且本发明并不旨在在这方面进行限制。因此,实体的偶联可以指直接或间接偶联,并且实体之间的位置关系可以是直接或间接的位置关系。作为间接位置关系的一个例子,本说明书中提到在层“B”上形成层“A”包括这样的情况,其中一个或多个中间层(例如层“C”)在层“A”和层“B”之间,只要层“A”和层“B”的相关特性和功能基本上不被中间层改变。
以下定义和缩写用于解释权利要求和说明书。如本文所用,术语“包含”、“包括”、“具有”、“含有”或其任何其它变型旨在涵盖非排他性的包括。例如,包括一系列要素的组合物、混合物、工艺、方法、制品或装置不一定仅限于那些要素,而是可以包括未明确列出的或此类组合物、混合物、工艺、方法、制品或装置固有的其他要素。
另外,术语“示例性”在本文中用于表示“用作示例、实例或说明”。在此描述为“示例性”的任何实施例或设计不一定被解释为比其它实施例或设计更优选或有利。术语“至少一个”和“一个或多个”可以理解为包括大于或等于一的任何整数,即,一、二、三、四等。术语“多个”可以理解为包括大于或等于二的任何整数,即二、三、四、五等。术语“连接”可以包括间接“连接”和直接“连接”两者。“
本文所用的修饰本发明成分、组分或反应物的量的术语”约“是指数值量的变化,其可例如通过用于制备浓度或溶液的典型测量和液体处理程序而发生。此外,由于测量过程中的无意误差、制造差异、来源差异、或用于制备组合物或实施方法的成分的纯度等,可能发生变化。术语”约“或”基本上“旨在包括与基于在本申请提交时可用的设备的特定量的测量相关联的误差度。例如,约可以包括给定值的±8%、或5%、或2%的范围。在另一个方面,术语”约“是指在所报告的数值的5%内。在另一个方面,术语”约“是指在所报告数值的10、9、8、7、6、5、4、3、2或1%内。
用于形成将被封装到集成电路(IC)中的微芯片的各种工艺属于四个一般类别,即,膜沉积、去除/蚀刻、半导体掺杂和图案化/光刻。沉积是将材料生长、涂覆或以其它方式转移到晶片上的任何工艺。可用的技术包括物理气相沉积(PVD)、化学气相沉积(CVD)、电化学沉积(ECD)、分子束外延(MBE)以及最近的原子层沉积(ALD)等。去除/蚀刻是从晶片去除材料的任何工艺。实例包括蚀刻工艺(湿法或干法)、反应离子蚀刻(RIE)和化学机械平坦化(CMP)等。半导体掺杂是通过掺杂例如晶体管源极和漏极,通常通过扩散和/或通过离子注入来改变电特性。这些掺杂工艺之后是炉退火或快速热退火(RTA)。退火用于激活注入掺杂剂。导体(例如铝、铜等)和绝缘体(例如各种形式的二氧化硅、氮化硅等)的膜都用于连接和隔离电气部件。半导体衬底的各个区域的选择性掺杂允许衬底的导电性随着电压的施加而改变。
现在将详细参考本发明的实施例,其示例在附图中示出,其中,相同的标号始终表示相同的元件。自对准双重图案化(SADP)是双重图案化的一种形式。有时将其称为节距分割、间隔物或侧壁辅助双重图案化。SADP工艺使用一个光刻步骤和附加的沉积和蚀刻步骤来限定类似间隔物的特征。在SADP工艺中,第一步骤是在衬底上形成芯轴,然后用沉积层覆盖图案。然后,蚀刻沉积层,其又形成间隔物。在SADP中,当使用间隔物来直接图案化金属间电介质而不是金属特征时,在密集贴片中可减少或甚至消除切割/阻挡掩模的数目。原因是芯/芯轴特征中的切口/块位置已经在第一掩模中图案化。存在在进一步图案化之后从间隔物之间的间隙显现的次要特征。次要特征结构与该间隔物之间的边缘与相邻的芯特征结构自对准。
当BEOL节距变得小于13nm时,自对准光刻-刻蚀-光刻-刻蚀(SALELE)将是极具挑战性的。在芯轴中形成的缺陷的数量的小规模的增加,因此可靠地提供具有等于或小于30nm的节距的芯轴还未实现。
本发明提供一种通过用SADP-SALE形成芯轴而具有小于15nm节距BEOL SALELE的方法。本发明提供一种结构和方法,以便用SALELE图案化亚8nm线,而不需要亚30nm节距芯轴图案化。本发明利用SADP步骤作为亚15nm SALELE的中间过程。在第一光刻蚀刻(LE)之后去除中间SADP图案,这使得可以进行SALELE。本发明利用SADP工艺的严格临界尺寸(CD)控制和SALELE的设计灵活性。本发明将需要比需要多个切割步骤的SAQP更少的EUV步骤。
一种执行自对准光刻蚀刻(SALE)工艺的方法,包括通过自对准方式沿着牺牲SADP图案形成第一组线,并且通过自对准方式沿着所述第一组线形成第二组线。在另一实施例中,第一组线由SADP形成,而第二组线由自对准方式沿所述第一组线形成。
图1示出了根据本发明实施例的多层器件100的俯视图。图2示出了根据本发明实施例的多层器件100的横截面A。多层器件100包括第一层105和硬掩模107。第一层105可以由例如Ru、Cu或另一种导电金属构成。硬掩模107由多个层构成,使得硬掩模107包括第二层110、第三层115、第四层120、第五层125、多个第一芯轴130。第二层110可以由例如TiN构成。第三层115可以由例如非晶Si构成。第四层120可以由例如SiN构成。第五层125可以由例如非晶硅构成。多个第一芯轴130可以由(例如)SiN构成。多个第一芯轴130具有4*P的节距(即,从第一芯轴的左侧到相邻的第一芯轴的左侧的距离),其中P是用于器件的最终设计的期望节距。多个第一芯轴130中的每个具有1.5*P的宽度。通过利用多层硬掩模107,允许在被转移到第一层105之前在硬掩模107内渐进地形成设计。
图3示出了根据本发明的实施例的在第一芯轴130周围形成第一间隔物135之后的多层器件100的俯视图。图4示出了根据本发明实施例的在第一芯轴130周围形成第一间隔物135之后的多层器件100的横截面A。在第五层125的顶表面上和第一芯轴130的暴露表面上形成第一间隔物135。回蚀第一间隔物135,使得第一间隔物135位于多个第一芯轴130的侧壁上。第一间隔物135可以由例如TiN构成。
图5说明根据本发明的实施例的在去除第一芯轴130之后的多层器件100的俯视图。图6说明根据本发明实施例的在去除第一芯轴130之后的多层器件100的横截面A。在不去除第一间隔物135的情况下去除第一芯轴130。在由多个第一芯轴130的去除引起的多个第一芯轴130的位置中形成沟槽。
图7示出了根据本发明实施例的在去除第一间隔物135的一部分之后的多层器件100的俯视图。图8示出了根据本发明实施例的在去除第一间隔物135的一部分之后的多层器件100的横截面A。第一间隔物135形成为围绕多个第一芯轴130中的每个的一个连续元件。可以去除每个第一间隔物135的一部分,使得每个第一间隔物135不再是一个单个元件。如图5所说明,如虚线框136所说明,去除第一间隔物135的每一端,从而导致形成多个第二芯轴140。多个第二芯轴140的数量是多个第一芯轴130的数量的两倍。
图9示出了根据本发明实施例的在形成第二间隔物145之后的多层器件100的俯视图。图10示出了根据本发明实施例的在形成间隔物145之后的多层器件100的横截面A。在第五层125的顶表面上及在多个第二芯轴140中的每个的暴露表面上形成第二间隔物145。回蚀第二间隔物145,使得第二间隔物145仅位于多个第二芯轴140中的每个的侧壁上。第二间隔物145可以由例如TiOx构成。用于第一间隔物/第二芯轴135、140的材料需要不同于用于第二间隔物145的材料。
图11示出了根据本发明实施例的在形成第一图案之后的多层器件100的俯视图。图12示出了根据本发明实施例的形成第一图案之后的多层器件100的横截面A。通过沉积材料并将材料回蚀到所需图案,在第五层125的顶部上形成第三芯轴150的第一图案且使其位于第二间隔物145周围。第三芯轴150可由(例如)SOG构成。第三芯轴150可以具有允许设计灵活性的各种设计形式。例如,第三芯轴150可以具有宽度恒定的直芯轴(如虚线框150A所示),并且第三芯轴150可以具有宽度在第三芯轴的不同部分中变化的芯轴(如虚线框150B所示)。具有变化宽度的第三芯轴150可以具有第一部分和第二部分,第一部分具有第一宽度W1,第二部分具有宽度W2,其中W2大于W1。例如,W2可以比W1大两倍。W2可以大约比W1大2倍至5倍。
图13示出了根据本发明实施例的在去除第二芯轴140和第二间隔物145之后的多层器件100的俯视图。图14示出了根据本发明实施例的在去除第二芯轴140和第二间隔物145之后的多层器件100的横截面A。去除第二芯轴140和第二间隔物145,而第三芯轴150保持位于第五层125的顶部上。
图15示出了根据本发明实施例的在将第一图案转移到第四层120中之后的多层器件100的俯视图。图16示出了根据本发明实施例的在将第一图案转移到第四层120中之后的多层器件100的横截面A。通过分别蚀刻第五层125和第四层120以转移图案,将第三芯轴150的图案转移到第四层120。第四层120中的图案形成第四芯轴155,其中第四芯轴155的图案与第三芯轴150的图案匹配。
图17示出了根据本发明实施例的在形成第三间隔物160之后的多层器件100的俯视图。图18示出了根据本发明实施例的在形成第三间隔物160之后的多层器件100的横截面A。在第三层115的顶表面上及第四芯轴155的暴露表面上形成第三间隔物160。回蚀第三间隔物160,使得第三间隔物160位于第四芯轴155的侧壁上。第三间隔物160可以由例如TiN构成。
图19示出了根据本发明的实施例的在形成第二图案之后的多层器件100的俯视图。图20示出了根据本发明的实施例的形成第二图案之后的多层器件100的横截面A。通过沉积材料并将材料回蚀到所需图案而在第三层115的顶部上形成第五芯轴165的第二图案且其位于第三间隔物160周围。第五芯轴165的第二图案可形成为与第四芯轴155的图案相邻或混合。第五芯轴165可由(例如)SOG组成。第五芯轴165可以具有允许设计灵活性的各种设计形成。例如,第五芯轴165可以具有恒定宽度的直芯轴(未示出),并且第五芯轴165可以具有宽度在第五芯轴的不同部分中变化的芯轴(如虚线框165A所示)。具有变化宽度的第五芯轴165可以具有第一部分和第二部分,第一部分具有第一宽度W3,第二部分具有宽度W4,其中W4大于W3。例如,W4可以比W3大两倍。W4可以大约比W3大2倍至5倍。第五芯轴165和第四芯轴155的组合图案将是用于待转移到第一层105的最终设计图案。
图21示出了根据本发明实施例的在去除第三间隔物160之后的多层器件100的俯视图。图22示出了根据本发明实施例的在去除第三间隔物160之后的多层器件100的横截面A。去除第三间隔物160,而保留第四芯轴155和第五芯轴165。第三间隔物160的去除允许在第五芯轴165与第四芯轴155之间形成间隔。
图23示出了根据本发明的实施例的在转移到第一层105中的图案中之后的多层器件100的俯视图。图24示出了根据本发明实施例的在转移到第一层105中的图案中之后的多层器件100的横截面A。通过分别蚀刻第三层115、第二层110和第一层105以转移图案,将第四芯轴155的图案和第五芯轴165的图案转移到第一层105。第一层105中的图案形成第六芯轴170,其中第六芯轴170的图案与第四芯轴155和第五芯轴165匹配。例如,第六芯轴170可以具有宽度恒定的直芯轴(如虚线框170A所示),并且第六芯轴170可以具有宽度在第六芯轴170的不同部分中变化的芯轴(如虚线框170B和170C所示)。位于虚线框170B中的第六芯轴170对应于第三芯轴150的设计。位于虚线框170C中的第六芯轴170与第五芯轴165的设计相对应。第六芯轴170的整体图案是第三芯轴150和第五芯轴165的组合图案。所提出的本发明允许形成具有小于15nm节距的第六芯轴170。
尽管已经参照本发明的特定示例性实施例示出和描述了本发明,但是本领域技术人员应该理解,在不脱离由所附权利要求及其等同物限定的本发明的范围的情况下,可以对其进行形式和细节上的各种改变。
已经出于说明的目的给出了本发明的各种实施例的描述,但是其不旨在是穷尽的或限于所公开的实施例。在不背离所描述的实施例的范围的情况下,许多修改和变化对于本领域的普通技术人员将是显而易见的。选择本文所使用的术语以最好地解释一个或多个实施例的原理、实际应用或对市场中存在的技术改进,或使本领域的其他普通技术人员能够理解本文所公开的实施例。

Claims (20)

1.一种半导体器件,包括:
多个导电金属芯轴,其中所述多个导电金属芯轴具有小于15nm的节距,其中所述多个导电芯轴包括具有恒定宽度的多个芯轴和具有变化宽度的多个芯轴。
2.根据权利要求1所述的半导体器件,其中具有变化宽度的多个芯轴中的每个芯轴具有第一部分和第二部分,所述第一部分具有第一宽度,所述第二部分具有第二宽度,其中所述第一宽度和所述第二宽度不同,其中所述第一部分能够邻近于所述第二部分水平地或垂直地定位。
3.根据权利要求2所述的半导体器件,其中所述第二宽度是所述第一宽度的两倍。
4.根据权利要求2所述的半导体器件,其中所述第二宽度在比所述第一宽度大两倍至5倍的范围内。
5.一种方法,包括:
形成多个导电金属芯轴,其中所述多个导电金属芯轴具有小于15nm的节距,其中所述多个导电芯轴包括具有恒定宽度的多个芯轴和具有变化宽度的多个芯轴。
6.根据权利要求5所述的方法,其中具有变化宽度的多个芯轴中的每个芯轴具有第一部分和第二部分,所述第一部分具有第一宽度,所述第二部分具有第二宽度,其中所述第一宽度和所述第二宽度不同,其中所述第一部分能够邻近于所述第二部分水平地或垂直地定位。
7.根据权利要求6所述的方法,其中所述第二宽度是所述第一宽度的两倍。
8.根据权利要求6所述的方法,其中所述第二宽度在比所述第一宽度大两倍至5倍的范围内。
9.根据权利要求5所述的方法,包括:
在导电金属层的顶部上形成多层硬掩模;
形成多个第一芯轴作为所述多层硬掩模的顶层;
在所述多个第一芯轴中的每个周围形成第一间隔物;
去除所述多个第一芯轴;
切割位于所述多个第一芯轴中的每个周围的第一间隔物以形成多个第二芯轴;
在所述多个第二芯轴中的每个周围形成第二间隔物;
形成包括多个第三芯轴的第一自对准图案,其中所述第一自对准图案包括具有恒定宽度的多个第三芯轴和具有变化宽度的多个第三芯轴;
去除所述多个第二芯轴和所述第二间隔物;
蚀刻所述多层硬掩模以将所述第一自对准图案转移到所述多层硬掩模的下层;
形成第二自对准图案,其中所述第二自对准图案与所述第一自对准图案混合;以及
将所述第一自对准图案和所述第二自对准图案蚀刻到所述导电金属层中。
10.根据权利要求9所述的方法,其中具有变化宽度的多个第三芯轴中的每个第三芯轴具有第一部分和第二部分,所述第一部分具有第一宽度,所述第二部分具有第二宽度,其中所述第一宽度和所述第二宽度不同,其中所述第一部分能够邻近于所述第二部分水平地或垂直地定位。
11.根据权利要求10所述的方法,其中所述第二宽度是所述第一宽度的两倍。
12.根据权利要求11所述的方法,其中所述第二宽度在比所述第一宽度大两倍至5倍的范围内。
13.根据权利要求9所述的方法,其中蚀刻所述多层硬掩模以将所述第一自对准图案转移到所述多层硬掩模的下层致使形成多个第四芯轴,所述多个第四芯轴以所述第一自对准图案的图案形成在所述下层中。
14.根据权利要求13所述的方法,还包括:
围绕所述多个第四芯轴形成第三间隔物。
15.根据权利要求14所述的方法,其中所述第二自对准图案的形成包括:
形成与所述第三间隔物相邻的多个第五芯轴,其中所述多个第五芯轴与所述多个第四芯轴混合,其中所述多个第五芯轴包括具有恒定宽度的多个第五芯轴和具有变化宽度的多个第五芯轴。
16.根据权利要求15所述的方法,其中具有变化宽度的多个第五芯轴中的每个第五芯轴具有第一部分和第二部分,所述第一部分具有第一宽度,所述第二部分具有第二宽度,其中所述第一宽度和所述第二宽度不同。
17.根据权利要求16所述的方法,其中所述第二宽度是所述第一宽度的两倍。
18.根据权利要求17所述的方法,其中所述第二宽度在比所述第一宽度大两倍至5倍的范围内。
19.根据权利要求16所述的方法,还包括:
去除所述第三间隔物。
20.根据权利要求19所述的方法,其中将所述第一自对准图案和所述第二自对准图案蚀刻到所述导电金属层中致使形成多个导电芯轴,其中所述多个导电芯轴具有小于15nm的节距。
CN202280071008.XA 2021-11-01 2022-10-11 图案化节距线的结构和方法 Pending CN118140307A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/453,010 US20230138978A1 (en) 2021-11-01 2021-11-01 Structure and method to pattern pitch lines
US17/453,010 2021-11-01
PCT/EP2022/078303 WO2023072588A1 (en) 2021-11-01 2022-10-11 Structure and method to pattern pitch lines

Publications (1)

Publication Number Publication Date
CN118140307A true CN118140307A (zh) 2024-06-04

Family

ID=84330461

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280071008.XA Pending CN118140307A (zh) 2021-11-01 2022-10-11 图案化节距线的结构和方法

Country Status (3)

Country Link
US (1) US20230138978A1 (zh)
CN (1) CN118140307A (zh)
WO (1) WO2023072588A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209076B2 (en) * 2013-11-22 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
US9691775B1 (en) * 2016-04-28 2017-06-27 Globalfoundries Inc. Combined SADP fins for semiconductor devices and methods of making the same
CN107968047A (zh) * 2017-11-23 2018-04-27 长江存储科技有限责任公司 一种sadp页缓冲器切断方法及结构
US10755969B2 (en) * 2018-01-01 2020-08-25 International Business Machines Corporation Multi-patterning techniques for fabricating an array of metal lines with different widths
US11594416B2 (en) * 2020-08-31 2023-02-28 Applied Materials, Inc. Tribological properties of diamond films

Also Published As

Publication number Publication date
WO2023072588A1 (en) 2023-05-04
US20230138978A1 (en) 2023-05-04

Similar Documents

Publication Publication Date Title
US10510540B2 (en) Mask scheme for cut pattern flow with enlarged EPE window
US10242881B2 (en) Self-aligned single dummy fin cut with tight pitch
US11289484B2 (en) Forming source and drain regions for sheet transistors
US10692728B2 (en) Use of selective aluminum oxide etch
US11710700B2 (en) Graphene-assisted low-resistance interconnect structures and methods of formation thereof
WO2021033063A1 (en) Interconnect structure having fully aligned vias
US20230360965A1 (en) Self-alignment etching of interconnect layers
TWI804240B (zh) 包含具有極紫外光閘極圖案化之混合擴散阻斷之半導體設備及其製造方法
US10297688B2 (en) Vertical field effect transistor with improved reliability
US11830807B2 (en) Placing top vias at line ends by selective growth of via mask from line cut dielectric
CN118140307A (zh) 图案化节距线的结构和方法
US20220181389A1 (en) High density reram integration with interconnect
US20200066520A1 (en) Alternating hard mask for tight-pitch fin formation
US20210280457A1 (en) Self-aligned block via patterning for dual damascene double patterned metal lines
US20230085494A1 (en) Back-end-of-line single damascene top via spacer defined by pillar mandrels
US11430735B2 (en) Barrier removal for conductor in top via integration scheme
US11171237B2 (en) Middle of line gate structures
US10354885B2 (en) Hard masks for block patterning

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination