CN117730167A - System and method for delivering precursors to a process chamber - Google Patents

System and method for delivering precursors to a process chamber Download PDF

Info

Publication number
CN117730167A
CN117730167A CN202180100447.4A CN202180100447A CN117730167A CN 117730167 A CN117730167 A CN 117730167A CN 202180100447 A CN202180100447 A CN 202180100447A CN 117730167 A CN117730167 A CN 117730167A
Authority
CN
China
Prior art keywords
valve
reservoir
buffer
precursor
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180100447.4A
Other languages
Chinese (zh)
Inventor
孙光伟
杰弗里·A·霍
赵来
孙喆临
梅兰·贝德亚特
徐�明
肯里克·T·乔伊
崔寿永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117730167A publication Critical patent/CN117730167A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Abstract

The present disclosure relates to providing a precursor delivery system having a vaporizer and a reservoir (reservoir). The reservoir includes an upstream end in fluid communication with the evaporator. A reservoir valve is in fluid communication with the downstream end of the reservoir, and a final valve is disposed downstream of the reservoir valve. A buffer zone is defined between the reservoir valve and the final valve. The first gas inlet is coupled to the buffer. The first gas inlet is coupled to the trim valve.

Description

System and method for delivering precursors to a process chamber
Technical Field
Embodiments of the present disclosure generally relate to methods of delivering a process gas for processing a substrate.
Background
Thin film technology has evolved toward thinner deposited layers, better uniformity over larger and larger area substrates (e.g., substrate sizes for flat panel technology). Thin film technology is also moving towards greater yields and higher productivity. Various processes are used to deposit thin films on substrates, including sputtering or Physical Vapor Deposition (PVD), chemical Vapor Deposition (CVD), and Atomic Layer Deposition (ALD) methods.
Forming and growing the thin film by ALD includes forming a saturated monolayer of reactive precursor molecules by chemisorption at the deposition surface of the substrate. In ALD, reactive gas precursors are alternately pulsed or injected into the process chamber. Each pulse or injection of reactive precursor is separated by an inert gas purge. During each complete cycle of processing, precursor injections react on the substrate surface to form new atomic layers. The delivery of the precursor to the process chamber uses flow timing control. To maintain good flow timing control, the precursor is continuously released from the vaporizer to maintain steady state conditions. When no inflow into the chamber is required according to the process recipe, a continuous flow of precursor vapor from the vaporizer is exhausted. Although the transfer or venting of the precursor vapor to the chamber foreline results in good process uniformity, the amount of precursor vapor used is high. In addition, pulsing the precursor from the vaporizer or sustained release of precursor vapor from the vaporizer can result in a pressure drop across the conduit to the processing chamber. Pressure drop presents a risk of condensation.
Accordingly, there is a need in the art for a precursor vapor delivery system to reduce precursor vapor usage during processing, manage the risk of condensation, while maintaining flow control to the processing chamber.
Disclosure of Invention
In some embodiments, a precursor delivery system having a vaporizer and a reservoir (reservoir) is provided. The reservoir includes an upstream end in fluid communication with the evaporator. A reservoir valve is in fluid communication with the downstream end of the reservoir, and a final valve is disposed downstream of the reservoir valve. A buffer zone is defined between the reservoir valve and the final valve. The first gas inlet is coupled to the buffer. The first gas inlet is coupled to the trim valve.
In some embodiments, a method of supplying a precursor to a processing volume of a processing chamber is provided. The method comprises the following steps: the precursor is supplied to the reservoir until the reservoir reaches a first pressure. The precursor is supplied from the reservoir to the buffer via the reservoir valve in the open state. A buffer zone is defined between the reservoir valve and the final valve. The precursor is supplied from the buffer zone to the process volume via the final valve in an open state. Each of the reservoir valve and the final valve is switched to a closed state. Buffer gas is supplied to the buffer zone via a buffer valve in an open state. The buffer gas is transferred to the foreline via the transfer valve in the open state. The transfer valve is switched to a closed state and the reservoir valve is switched to a closed state.
In some embodiments, a substrate processing system is provided for processing a substrate having a processing chamber with a processing volume. The process chamber has a gas inlet and a gas distribution assembly fluidly coupled to the gas inlet. The gas distribution assembly includes: an evaporator; a reservoir having an upstream end in fluid communication with the evaporator; and a reservoir valve in fluid communication with the downstream end of the reservoir. The final valve is disposed downstream of the reservoir valve. A buffer zone is defined between the reservoir valve and the final valve, and a buffer gas inlet is coupled to the buffer zone. The buffer gas inlet is coupled to a buffer valve.
Drawings
So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
FIG. 1 depicts a schematic diagram of a precursor delivery system according to an embodiment of the present disclosure;
FIG. 2 depicts a flow chart of a method for delivering a precursor according to an embodiment of the present disclosure;
3A-3D depict schematic diagrams of a precursor delivery system at various stages of a method for dispensing a gas, according to an embodiment of the present disclosure; and
Fig. 4 depicts a graph of pressure along a precursor delivery system at various stages of a method for delivering a precursor according to an embodiment of the present disclosure.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
Detailed Description
Embodiments of the present disclosure provide precursor delivery systems with stable precursor delivery from a vaporizer to a process chamber. The delivery system enhances throughput, improves processing efficiency, reduces precursor waste, and reduces condensation along the precursor delivery line. One or more embodiments of the present disclosure are described with respect to an atomic layer deposition chamber. However, the precursor delivery system can be used in other types of processing chambers, such as plasma etch chambers, chemical vapor deposition chambers, implantation chambers, or other chambers. In particular, the precursor delivery system described herein provides precise flow timing control and reduces condensation that causes pressure drop along the delivery line, so that the flow can be rapidly pulsed with little or no flow rate settling time, resulting in very stable precursor delivery to promote process uniformity and reduce defects. The precursor delivery system does not rely on dumping the precursor into the foreline as is contemplated in other gas delivery systems, resulting in less precursor waste.
Fig. 1 depicts a schematic diagram of a precursor delivery system 100. The system 100 includes an evaporator 102. The liquid precursor is supplied to the vaporizer 102 via a Liquid Flow Controller (LFC) 120. In some embodiments, other fluids are supplied to vaporizer 102, such as carrier gas via gas source 121. The reservoir 104 is in fluid communication with the evaporator 102 such that the reservoir 104 is coupled to the downstream end of the evaporator 102. In some embodiments, a refill valve 122 is disposed at an upstream end of the reservoir 104. Alternatively, the precursor is supplied directly from the vaporizer 102 to the reservoir 104 without the refill valve 122. Other delivery systems do not use a reservoir to deliver the precursor. It has been found that: systems that do not use a reservoir rely on pulsed precursors directly from the vaporizer, however, the response time of a vaporizer without a reservoir is not fast enough to keep up with pulsed precursors for certain processes, which results in inaccurate flow timing control. It was also found that: the precursor may be pulsed by rapidly switching the precursor flow between the process chamber and the precursor line. Precursor is wasted by transferring the precursor. The addition of a reservoir solves some challenges, however, during operation the reservoir can create pressure and when opened at low pressure towards the lower pressure downstream gas line, the temperature of the precursor can drop and risk condensation occurring in the line. Without being bound by theory, it is believed that arranging the flow control valve and sequentially opening and closing the valve in timed sequence can reduce pressure differences and temperature drops along the gas line, thereby reducing the risk of condensation.
A reservoir valve 126 is disposed downstream of the reservoir 104. In some embodiments, a first pressure gauge 152 is disposed at a downstream end of the reservoir 104 and upstream of the reservoir valve 126. In some embodiments, a second pressure gauge 154 is disposed downstream of the reservoir valve 126. The bypass line (with bypass valve 124 disposed on the bypass line) fluidly couples the gas line upstream of the refill valve 122 and downstream of the reservoir valve 126 (e.g., downstream of the second pressure gauge 154 (not shown) or upstream of the second pressure gauge 154). The bypass line enables precursor to bypass the reservoir 104 and be delivered to the process chamber 106. A buffer zone 130 is defined between the reservoir valve 126 and the final valve 128. In some embodiments, a second pressure gauge 154 is coupled to the buffer 130. The first gas inlet 140 is coupled to the buffer zone 130 and the buffer valve 132. The first gas inlet 140 can deliver a buffer gas such as nitrogen, argon, or other non-reactive gas.
In some embodiments, the trim valve 132 is a three-way valve coupled to the exhaust port. Alternatively, the foreline 138 is coupled to the purge valve 136 and is configured to purge the precursor from the buffer 130 to the foreline 138 when the vacuum valve is in the open position. The final valve 128 is coupled to the gas line 101 downstream of the exhaust valve 136 and fluidly coupled to the process chamber 106.
Additional gas inlets along the gas line with valves are also contemplated, such as gas line 144 with valve 146 and gas line 142 with valve 148. Each gas line 142, 144 is capable of delivering a process gas, such as nitrous oxide (N) 2 O), oxygen (O) 2 ) Argon, or other process gases, including non-reactive gases. In some embodiments, a filter 129 is coupled to the gas line 101 downstream of the final valve 128. Alternatively, a filter 129 is provided between the evaporator 102 and the reservoir 104. In some embodiments, the final valve 128 is a three-way valve that is operable to transfer the precursor to the foreline 150. In some embodiments, a foreline 150 is used in place of the foreline 138 to discharge the precursor. In some implementations, the final valve 128 is a two-way valve and is not coupled to the foreline 150. The gas line 101 is coupled to the process chamber 106 at an inlet 109. Precursor distribution in the deviceIs disposed above a substrate 112 on a substrate support 108 within a processing volume 114 of the process chamber 106. In some embodiments, the precursor is dispensed using a gas delivery assembly 110.
The precursor delivery system 100 depicted in fig. 1 may be used to deliver a precursor to the process chamber 106 according to a method such as the method 200 described with reference to fig. 2. Certain operations of the method 200 are depicted with reference to fig. 3A-3D. In operation 202, a precursor is supplied to the reservoir 104 until the reservoir 104 reaches a first pressure. In some embodiments, the first pressure is measured by a first pressure gauge 152 disposed at the downstream end of the reservoir 104. In some embodiments, the buffer pressure measured by the second pressure gauge 154 is slightly lower than the reservoir pressure measured by the first pressure gauge 152. In some embodiments, the precursor is supplied to the buffer when the second pressure is substantially the same as the first pressure of the reservoir or about 10% lower (e.g., 1% to about 10% lower) than the first pressure. Precursor is supplied from the vaporizer 102 via a refill valve 122 disposed at an upstream end of the reservoir 104. In some embodiments, the precursor is supplied directly from the vaporizer 102 to the reservoir 104 without the refill valve 122, or with the refill valve 122 in a constant open state. In some embodiments, supplying the precursor to the reservoir includes positioning a Liquid Flow Controller (LFC) in an on state, the LFC being disposed upstream of the reservoir, such as upstream of the evaporator 102.
In operation 204, a precursor is supplied from the reservoir 104 to the buffer zone via the reservoir valve 126 in an open state, as shown in fig. 3A. A buffer zone is defined between the reservoir valve and the final valve. Each of the trim valve 132 and the transfer valve 136 remains in a closed state. In some embodiments, the final valve 128 is in a closed state. In operation 206, the precursor is supplied from the buffer zone to the supply processing volume via the final valve in the open state, as shown in fig. 3A. In some embodiments, the final valve 128 is switched from the closed state to the open state substantially simultaneously with the switching of the reservoir valve 126 from the closed state to the open state such that operations 204 and 206 occur substantially simultaneously with each other. Without being bound by theory, it is believed thatThe buffer gas is vented to low pressure and attracts the precursor to flow with reduced pressure drop. Operations 204 and 206 occur for about 100 milliseconds to about 2 seconds, such as about 300 milliseconds to 5 milliseconds, depending on the process recipe. The buffer gas is any non-reactive gas, such as a nitrogen-containing gas, such as nitrogen (N) 2 ). In some embodiments, the buffer gas comprises argon.
In operation 208, each of the reservoir valve 126 and the final valve 128 is switched to a closed state, as shown in fig. 3B. The reservoir valve 126 and the final valve 128 are substantially simultaneously closed to stop precursor flow. In operation 210, buffer gas is supplied to the buffer zone via the buffer valve 132 in an open state, also shown in fig. 3B. In operation 212, the buffer gas is transferred to the foreline via the transfer valve 136 in the open state, as shown in fig. 3B. In some implementations, operations 208, 210, and 212 occur substantially simultaneously with one another. The residual precursor in the buffer zone is transferred to the exhaust. In some embodiments, in operation 208, the reservoir valve 126 and the final valve 128 are in the closed state for about 0.5 seconds to about 3 seconds, such as about 1 second to about 2 seconds. In some embodiments, in operations 210 and 212, buffer gas is supplied to the buffer zone via the buffer valve 132 and transferred via the transfer valve 136 for about 50 milliseconds to about 550 milliseconds, such as about 100 milliseconds to about 500 milliseconds. Operations 210 and 212 occur substantially concurrently with each other, or at least partially concurrently with each other. In some embodiments, operation 214 begins when the buffer is substantially free of precursor. In some embodiments, the reservoir pressure increases when the reservoir valve is closed. In some embodiments, a refill valve 122 disposed upstream of the reservoir 104 is used to control the pressure within the reservoir. For example, when the pressure of the reservoir exceeds a predetermined pressure, the refill valve 122 switches to a closed state. In some embodiments, the refill valve 122 is switched to an open state when the pressure of the reservoir falls below a predetermined pressure. In some implementations, the refill valve 122 and LFC120 are switched to an open state such that the precursor refills the reservoir, for example, during operation 212. Refill valve 122 is used to control reservoir pressure. Without being bound by theory, it is believed that LFCs may have a longer relative response time for processes with rapid gas exchange, which may result in inter-cycle (cycle-to-cycle) pressure variations in the reservoir. The use of refill valve 122 reduces pressure variations in the reservoir and, conversely, pressure variations remain within evaporator 102. Alternatively, refill valve 122 is not used, and LFC 129 is always in an open state, and evaporator 102 continues to charge reservoir 104. In some embodiments, refill valve 122 is in an open state during all operations 202-212. In some embodiments, the buffer pressure is maintained during operations 208, 210, and 212.
In operation 214, the transfer valve 136 is switched to the closed state, as shown in FIG. 3C. In some embodiments, transfer valve 136 is switched to a closed state once residual precursor is exhausted from the buffer. In some embodiments, the trim valve 132 remains in an open state to pressurize the trim region 130. In some embodiments, operation 214 occurs for about 0.5 seconds to about 2.5 seconds, such as about 0.9 seconds to about 1.9 seconds, such as about 1.2 seconds to 1.7 seconds, depending on the process recipe.
In operation 216, the trim valve 132 is switched to the closed state, as shown in FIG. 3D. In some embodiments, the trim valve 132 is switched to the closed state when the trim area reaches a predetermined pressure, such as a maximum design pressure. Condensation is reduced as the instantaneous pressure drop at the reservoir outlet is minimized. In some embodiments, the one or more additional gases are supplied via a downstream inlet fluidly coupled to an outlet of the final valve.
Operations 202 through 216 are repeated cyclically. In some embodiments, the cycle time from operations 202 to 215 is about 1.5 seconds to about 5 seconds, such as about 2 seconds to about 3 seconds.
Example
Fig. 4 depicts a graph of pressure along a precursor delivery system during various stages of a method for delivering a precursor (e.g., method 200) according to an embodiment of the present disclosure. The curve PG1 represents the pressure of the reservoir 104 at the first pressure gauge 152 over time. Curve PG2 represents the pressure of the buffer 130 at the second pressure gauge 154 over time. Curve 402 represents the state of the reservoir valve 126, including a closed state 412 where the reservoir valve is in a closed position over time and an open state 422 where the reservoir valve is in an open position over time. Curve 404 represents the state of the trim valve 132, including a closed state 414 and an open state 424. Curve 406 represents the state of the final valve 128, including a closed state 416 and an open state 426. Curve 408 represents the state of transfer valve 136, including closed state 418 and open state 428.
Time t 0 、t 1 、t 2 、t 3 And t 4 Corresponding to the processing operations described in method 200. Specifically, at t 0 Previously, each of the reservoir valve, the trim valve, and the final valve were in a closed position, such as in operation 202. At t 0 At this point, the reservoir pressure (PG 1) reaches a design pressure of about 30 torr. Other design pressures are also contemplated, such as pressures of about 20torr to about 50torr, based on the size of the reservoir and the type of precursor. In some embodiments, at t 0 Where the reservoir pressure and the buffer pressure are substantially equal, e.g. within 10% of each other. As described in operations 204 and 206, the reservoir valve and the final valve are each positioned in an open state, while each of the trim valve and the transfer valve remain in a closed state. As at t 0 And t 1 As can be seen, the reservoir pressure and the buffer pressure decrease. At t 1 At this point, as described in operations 208, 210 and 212, the reservoir valve and the final valve are each switched to a closed state, while the trim valve and the transfer valve are each switched to an open state. This results in at t 1 And t 2 The reservoir pressure PG1 increases while the buffer pressure PG2 is substantially maintained. At t 2 At this point, the transfer valve is switched to the closed state as described in operation 214. At t 2 And t 3 In between, reservoir pressure continues to increase and buffer pressure increases. At t 3 At this point, the trim valve switches to the closed state, as described in operation 216. At t 4 At this point, when the pressure of the buffer PG2 is substantially equal to or slightly lower than the reservoir pressure PG1, from t 0 The repeated operation is started. From t 0 And t 4 It can be seen that the reservoir outlet pressure PG1 and the buffer pressure PG2 remain always within 20% of each other, e.g. within 10% of each other, e.g. within 5%.
In summary, a precursor delivery system with stable precursor delivery from an evaporator to a process chamber is provided that enhances throughput, improves process efficiency, reduces precursor waste, and reduces condensation along the precursor delivery line. The precursor delivery system described herein provides precise flow timing control and reduces condensation caused by pressure drop along the delivery line, so that the flow can be rapidly pulsed with little or no flow rate settling time, resulting in very stable precursor delivery to promote process uniformity and reduce defects. The precursor delivery system does not rely on dumping the precursor into the foreline as is contemplated in other gas delivery systems, resulting in less precursor waste. In particular, the use of a reservoir and a plurality of valves disposed along the gas line can enhance process control by maintaining each of the reservoir and buffer pressures within a predetermined pressure range, and more importantly, within a predetermined pressure range relative to each other. Thus, when the reservoir pressure is high, the valve switches between open and closed states. The use of buffer gas to pressurize certain areas of the gas line (e.g., buffer zones), for example, prior to opening the reservoir to the gas line, reduces the pressure drop from the reservoir to the gas line during different stages of processing. The switching of each valve from an open to a closed state is timed based on the process recipe or automatically based on the pressure gauge readings within the buffer. The timing control of the precursor flow is greatly enhanced and the pressure differential across the gas line is also enhanced, thereby reducing condensation associated with pressure drop.
While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the scope of the disclosure, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A precursor delivery system, comprising:
an evaporator;
a reservoir including an upstream end in fluid communication with the evaporator;
a reservoir valve in fluid communication with a downstream end of the reservoir;
a final valve disposed downstream of the reservoir valve;
a buffer zone defined between the reservoir valve and the final valve; and
A first gas inlet coupled to the buffer zone, wherein the first gas inlet is coupled to a buffer valve.
2. The system of claim 1, further comprising a Liquid Flow Controller (LFC) coupled to the upstream end of the evaporator.
3. The system of claim 1, further comprising a first pressure gauge proximate the downstream end of the reservoir.
4. The system of claim 3, further comprising a second pressure gauge coupled to the buffer zone.
5. The system of claim 1, further comprising a drain valve coupled to the buffer zone.
6. The system of claim 1, wherein the trim valve is a three-way valve, the trim valve being operable to transfer to a foreline.
7. The system of claim 1, wherein the final valve is a three-way valve, the final valve being operable to transfer to a foreline.
8. The system of claim 1, wherein the final valve is communicatively coupled to a process chamber.
9. The system of claim 1, further comprising a bypass line fluidly coupling the evaporator with the buffer zone, wherein the bypass line comprises a bypass valve.
10. A method of supplying a precursor to a processing volume of a processing chamber, the method comprising:
supplying a precursor to a reservoir until the reservoir reaches a first pressure;
supplying the precursor from the reservoir to a buffer zone via a reservoir valve in an open state, wherein the buffer zone is defined between the reservoir valve and a final valve;
supplying the precursor from the buffer zone to the processing volume via the final valve in an open state;
switching each of the reservoir valves and the final valve to a closed state;
supplying buffer gas to the buffer zone via a buffer valve in an open state;
transferring the buffer gas to a foreline via a transfer valve in an open state;
switching the transfer valve to a closed state; and
Switching the reservoir valve to a closed state.
11. The method of claim 10, wherein supplying the precursor to the reservoir comprises the steps of: the gas is supplied via a refill valve disposed at an upstream end of the reservoir.
12. The method of claim 11, wherein supplying the precursor to the reservoir further comprises the steps of: a Liquid Flow Controller (LFC) is positioned in an on state, the LFC being disposed upstream of the reservoir.
13. The method of claim 12, wherein the LFC is disposed upstream of an evaporator disposed upstream of the reservoir.
14. The method of claim 10, wherein the reservoir valve and the downstream valve are simultaneously in an open state.
15. The method of claim 10, wherein the buffer comprises a second pressure, wherein the precursor is supplied to the buffer when the second pressure is substantially the same as or 10% lower than the first pressure of the reservoir.
16. The method of claim 10, wherein the buffer gas is a nitrogen-containing gas.
17. The method of claim 10, wherein switching the transfer valve to a closed state increases the pressure of the buffer zone.
18. The method of claim 10, further comprising the step of: one or more additional gases are introduced through a downstream inlet fluidly coupled to an outlet of the final valve.
19. A substrate processing system, comprising:
a process chamber comprising a process volume for processing a substrate, the process chamber having a gas inlet; and
A gas distribution assembly fluidly coupled to the gas inlet, the gas distribution assembly comprising:
an evaporator;
a reservoir including an upstream end in fluid communication with the evaporator;
a reservoir valve in fluid communication with a downstream end of the reservoir;
a final valve disposed downstream of the reservoir valve;
a buffer zone defined between the reservoir valve and the final valve; and
A buffer gas inlet coupled to the buffer zone, wherein the buffer gas inlet is coupled to a buffer valve.
20. The substrate processing system of claim 19, further comprising a downstream gas inlet fluidly coupled to the gas inlet, the downstream gas inlet configured to deliver additional process gas to the processing volume.
CN202180100447.4A 2021-07-01 2021-07-01 System and method for delivering precursors to a process chamber Pending CN117730167A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2021/040118 WO2023277920A1 (en) 2021-07-01 2021-07-01 System and method for delivering precursor to a process chamber

Publications (1)

Publication Number Publication Date
CN117730167A true CN117730167A (en) 2024-03-19

Family

ID=84690576

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180100447.4A Pending CN117730167A (en) 2021-07-01 2021-07-01 System and method for delivering precursors to a process chamber

Country Status (4)

Country Link
KR (1) KR20240024266A (en)
CN (1) CN117730167A (en)
TW (1) TW202315961A (en)
WO (1) WO2023277920A1 (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9929279D0 (en) * 1999-12-11 2000-02-02 Epichem Ltd An improved method of and apparatus for the delivery of precursors in the vapour phase to a plurality of epitaxial reactor sites
KR101585054B1 (en) * 2014-05-09 2016-01-14 한국생산기술연구원 Liquid Precursor Delivery System
JP6354539B2 (en) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
US20170167022A1 (en) * 2015-12-11 2017-06-15 Lg Chem, Ltd. Apparatus for high speed atomic layer deposition and deposition method using the same
US11788190B2 (en) * 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer

Also Published As

Publication number Publication date
WO2023277920A1 (en) 2023-01-05
TW202315961A (en) 2023-04-16
KR20240024266A (en) 2024-02-23

Similar Documents

Publication Publication Date Title
US7635502B2 (en) ALD apparatus and method
TWI488993B (en) Methods and apparatus for a gas panel with constant gas flow
US9496134B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and semiconductor device
US11814727B2 (en) Systems and methods for atomic layer deposition
US20080160214A1 (en) Substrate processing apparatus
KR20100014210A (en) Semiconductor manufacturing apparatus and semiconductor device manufacturing method
EP4321648A1 (en) Plasma enhanced atomic layer deposition apparatus and method
US20080145533A1 (en) Substrate processing apparatus and substrate processing method
US20080026148A1 (en) Film Forming System And Method For Forming Film
CN117730167A (en) System and method for delivering precursors to a process chamber
US11560624B2 (en) Precursor delivery system
KR100935289B1 (en) Substrate processing apparatus and substrate processing method
US7972961B2 (en) Purge step-controlled sequence of processing semiconductor wafers
KR101773038B1 (en) Depositing apparatus having vaporizer and depositing method
TWI801943B (en) Semiconductor processing system and its control assembly and method
US11566327B2 (en) Methods and apparatus to reduce pressure fluctuations in an ampoule of a chemical delivery system
JP5060375B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
KR102318221B1 (en) Substrate processing apparatus and substrate processing method
CN116356285A (en) Semiconductor processing apparatus and method
CN117070922A (en) Atomic layer deposition coating equipment
KR20240018235A (en) Substrate processing apparauts and processing method for substrate
KR20240019568A (en) Gas supply apparatus, gas supply method, and substrate processing system having the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication