CN117597465A - 处理基板的方法及设备 - Google Patents

处理基板的方法及设备 Download PDF

Info

Publication number
CN117597465A
CN117597465A CN202280047352.5A CN202280047352A CN117597465A CN 117597465 A CN117597465 A CN 117597465A CN 202280047352 A CN202280047352 A CN 202280047352A CN 117597465 A CN117597465 A CN 117597465A
Authority
CN
China
Prior art keywords
layer
sidewall
top surface
feature
radio frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280047352.5A
Other languages
English (en)
Inventor
贝纳切克·梅巴克
李正周
科马尔·加德
基索尔·库马尔·卡拉提帕拉比尔
唐先敏
谢祥金
李睿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117597465A publication Critical patent/CN117597465A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3492Variation of parameters during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/351Sputtering by application of a magnetic field, e.g. magnetron sputtering using a magnetic field in close vicinity to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

提供了用于处理基板的方法及设备。该方法包括:在物理气相沉积(PVD)腔室中从靶材溅射材料以在包含基板特征的层上形成材料层,该特征具有由第一侧壁及第二侧壁限定的开口宽度,该材料层在该层的顶表面具有比在该特征之内的第一侧壁或第二侧壁上的厚度更大的侧向厚度;通过在低功率下用射频偏压将该层偏压在层上沉积额外材料;通过在高功率下用射频偏压将该层偏压从该层蚀刻材料层;并且以预定频率在低功率与高功率之间重复交替。

Description

处理基板的方法及设备
领域
本公开内容的实施方式通常涉及用于处理基板的方法及设备,且更特定言之,涉及用于为沉积在特征之内的物理气相沉积(physical vapor deposition;PVD)膜减少悬垂及提高开口宽度的方法及设备。
背景
半导体电路元件的小型化已达到以商业规模制造45nm、32nm、28nm、20nm及甚至更小的特征尺寸的水平。随着特征尺寸的持续缩小,出现了对于如填充电路元件之间的间隙的工艺步骤的新挑战。随着元件之间的宽度持续缩减,元件之间的间隙通常变得更高且更窄,如此使得更加难以在间隙填充材料不产生孔隙或薄弱接缝的情况下填充间隙。
溅射(或者称为物理气相沉积)用于在制造半导体集成电路时沉积金属及其他材料。溅射的使用已扩展至将材料层沉积至高深宽比(high aspect-ratio;HAR)孔或间隙(诸如通孔)或其他互连结构的侧壁上。
在间隙完全填充之间,PVD技术通常在间隙顶部经历材料的过度生长或悬垂。悬垂可在沉积材料由悬垂切断的间隙中产生空隙或接缝,该问题有时被称为面包块效应(breadloafing)。
当前用于减少悬垂的方法利用施加于基板的连续波(continuous wave;CW)偏压,但所述方法具有有限的功率范围。此外,当在高功率水平下操作时,连续波偏压可导致对下层基板的损坏。
概述
本文提供了用于处理基板的方法及设备。在一些实施方式中,一种在基板上物理气相沉积的方法包括:在物理气相沉积(PVD)腔室中从靶材溅射材料以在包含特征的层上形成材料层,该特征从层的顶表面延伸至其上沉积该层的基底层的顶表面一深度,该特征具有由第一侧壁及第二侧壁限定的开口宽度,该材料层在该层的顶表面具有比在该特征之内的第一侧壁或第二侧壁上的厚度更大的侧向厚度;通过在低功率下用射频偏压将该层偏压在层上沉积额外材料;通过在高功率下用射频偏压将该层偏压从该层蚀刻材料层;并且以预定频率在低功率与高功率之间重复交替,以减小在层的顶表面处的侧向厚度与在特征之内的第一侧壁或第二侧壁上的侧向厚度之间的差异。
根据至少一些实施方式,一种在上面存储有指令的非暂时性计算机可读存储介质,当所述指令由处理器执行时在基板上进行物理气相沉积的方法。该方法包括:在物理气相沉积(PVD)腔室中从靶材溅射材料以在包含特征的层上形成材料层,该特征从层的顶表面延伸至其上沉积该层的基底层的顶表面一深度,该特征具有由第一侧壁及第二侧壁限定的开口宽度,该材料层在该层的顶表面具有比在该特征之内的第一侧壁或第二侧壁上的厚度更大的侧向厚度;通过在低功率下用射频偏压将该层偏压在层上沉积额外材料;通过在高功率下用射频偏压将该层偏压从该层蚀刻材料层;并且以预定频率在低功率与高功率之间重复交替,以减小在层的顶表面处的侧向厚度与在特征之内的第一侧壁或第二侧壁上的侧向厚度之间的差异。
根据至少一些实施方式,一种在基板上物理气相沉积的方法包括:在物理气相沉积(PVD)腔室中从靶材溅射材料以在包含特征的层上形成材料层,该特征从层的顶表面延伸至其上沉积该层的基底层的顶表面一深度,该特征具有由第一侧壁及第二侧壁限定的开口宽度,该材料层在该层的顶表面具有比在该特征之内的第一侧壁或第二侧壁上的厚度更大的侧向厚度;通过在低功率下且在从约2MHz至约60MHz的双功率下用射频偏压将该层偏压,在层上沉积额外材料;通过在高功率下且在从约2MHz至约60MHz的双功率下,用射频偏压将该层偏压从该层蚀刻材料层;并且在双频率之内的预定频率下在低功率与高功率之间重复交替,以减小在层的顶表面处的侧向厚度与在特征之内的第一侧壁或第二侧壁上的侧向厚度之间的差异。
本公开内容的其他及进一步实施方式描述如下。
附图简要说明
简要概述于上文且在下文中更详细论述的本公开内容的实施方式,可通过参考在附图中图示的本公开内容的说明性实施方式来理解。然而,附图仅图示本公开内容的典型实施方式并且因此不被视为对范围的限制,因为本公开内容可允许其他同等有效的实施方式。
图1为根据本公开内容的至少一些实施方式的处理腔室的示意横截面图。
图2A至图2C为根据本公开内容的至少一些实施方式的其上具有减少的悬垂的材料层的基板的图。
图3为根据本公开内容的至少一些实施方式的用于处理基板的方法的流程图。
为了促进理解,在可能的情况下,已使用相同的附图标记来指示诸图共享的相同元件。附图并未按比例绘制并且可为了清晰起见而简化。一个实施方式的元件及特征可有利地并入其他实施方式,而无需进一步叙述。
具体描述
本文提供了用于处理基板的方法及设备的实施方式。例如,在基板上物理气相沉积的方法可包括:在PVD腔室中从靶材溅射材料以在包含特征的层上形成材料层,该特征延伸从层的顶表面至上面沉积该层的基底层的顶表面的深度。在至少一些实施方式中,该特征具有由第一侧壁及第二侧壁限定的开口宽度。该材料层可在该层的顶表面具有比在该特征之内的第一侧壁或第二侧壁上的厚度更大的侧向厚度。然后,额外材料可通过在低功率下用射频偏压将该层偏压来沉积在该层上。接下来,材料层可通过在高功率下用射频偏压将该层偏压来从该层蚀刻。然后,该方法可包括以预定频率在低功率与高功率之间重复交替,以减小在层的顶表面处的侧向厚度与在特征之内的第一侧壁或第二侧壁上的侧向厚度之间的差异。本文描述的方法及设备通过提供更大的顶部特征开口来减轻/最小化与PVD工艺相关的悬垂问题并且使后续的金属化步骤更易于实现。
图1为根据本公开内容的至少一些实施方式的处理腔室100(例如,等离子体处理腔室)的示意侧视图。在一些实施方式中,处理腔室100为适合于在具有给定直径的基板上溅射沉积材料的PVD处理腔室。可适于从本公开内容中受益的适当PVD腔室的说明性实例包括可购自加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,Inc.)的那些腔室。可从应用材料公司以及其他制造商获得的其他处理腔室也可根据本文描述的实施方式而适配。
处理腔室100通常包括上侧壁102、下侧壁103、接地适配器104和盖组件111,上述各者限定包围内部空间106的主体105。适配器板107可设置在上侧壁102与下侧壁103之间。
基板支撑件108设置在处理腔室100的内部空间106中。基板支撑件108经配置以支撑具有给定直径(例如,150mm、200mm、300mm、450mm等)的基板。基板移送端口109形成在下侧壁103中,用于将基板移送进出内部空间106。
气源110耦接至处理腔室100以将处理气体供应至内部空间106中。在一些实施方式中,若需要,处理气体可包括惰性气体、非反应性气体和反应性气体。可由气源110提供的处理气体的实例包括但不限于氩气(Ar)、氦气(He)、氖气(Ne)、氮气(N2)、氧气(O2),及水(H2O)蒸气等。
泵送装置112经耦接至与内部空间106连通的处理腔室100以控制内部空间106的压力。在一些实施方式中,在沉积期间,处理腔室100的压力水平可维持在约0.001mTorr至约1Torr。
接地适配器104可支撑靶材,诸如靶材114。靶材114由待沉积在基板上的材料制成。在一些实施方式中,靶材114可由铝(Al)、钴(Co)、铜(Cu)、铟(In)、钼(Mo)、镍(Ni)、铑(Rh)、钌(Ru)、钽(Ta)、钛(Ti)、钨(W)、上述各者的合金、上述各者的组合等制成。在一些实施方式中,处理腔室100经配置以沉积例如铝(Al)、钴(Co)、铜(Cu)、铟(In)、钼(Mo)、镍(Ni)、铑(Rh)、钌(Ru)、钽(Ta)、钛(Ti)、钨(W)、上述各者的合金、上述各者的组合等。在一些实施方式中,处理腔室100经配置以在基板上沉积例如氧化铝(AlO2)、氧氮化铝(诸如ALON)、氮化钽(TaN)、氧氮化钽(TaOxNy)、氧氮化钛(TiOxNy)或氮化钨(WN)。靶材114的前表面的形状可为平面的或通常为凹形的,具有比内径部分更厚的外周边边缘。
靶材114可经耦接至包含用于靶材114的电源117的源组件。在一些实施方式中,电源117可为射频电源,该射频电源可经由匹配网络116耦接至靶材114。在一些实施方式中,电源117可替代地为直流电源,在此情况下,匹配网络116得以省略。在一些实施方式中,电源117可包括直流和射频电源。电源117相对于接地适配器104或接地屏蔽将靶材114负偏压以将等离子体气体激发成等离子体165。例如,无论电源117的类型如何,提供给靶材114的电源皆会将等离子体处理气体激发成等离子体,并且等离子体的带正电离子经加速朝向靶材114并从靶材114溅射材料。
另外,在一些实施方式中,靶材114可包括背板(图1中未示出),该背板可经提供以提高靶材114的结构稳定性。背板可包括导电材料,诸如铜锌、铜铬或与靶材114相同的材料,以使得射频和视情况直流功率可经由背板耦接至靶材114。或者,背板可为非导电的并且可包括导电元件(未示出),诸如电馈通或其类似物。
磁控管170位于靶材114上方并且可包括一个或多个磁体172,所述磁体172可沿路径旋转且由连接到轴176的基板174支撑,轴176可与处理腔室100和基板101的中心轴轴向对齐。一个或多个磁体172在处理腔室100内靠近靶材114的正面附近产生磁场以产生等离子体,因此大量离子通量撞击靶材114,导致靶材材料的溅射发射。一个或多个磁体172可围绕轴176旋转以增加跨越靶材114表面的磁场的均匀性。通常,一个或多个磁体172可经旋转,以使得在一个或多个磁体172旋转期间的最内部磁体位置得以设置在正经处理的基板的直径之上或之外(例如,从旋转轴至一个或多个磁体172的最内部位置的距离等于或大于正经处理的基板的直径)。
准直器118可定位在靶材114与基板支撑件108之间的内部空间106中。准直器118的中心区域135通常对应于正经处理的基板的直径(例如,等于或大体上等于基板的直径)。因此,准直器118的周边区域133通常对应于经处理的基板的径向向外的环形区域(例如,周边区域133的内径大体上等于或大于基板的直径)。在一些实施方式中,准直器118可经电偏压以控制到基板的离子通量和基板处的中性角分布,以及归因于增加的直流偏压而增加沉积速率。
准直器118耦接至上屏蔽件113,上屏蔽件113又耦接至工艺工具适配器138。工艺工具适配器138可由与处理腔室100中的处理条件兼容的适当的导电材料制成。绝缘环156和绝缘环157设置在工艺工具适配器138的任一侧上,以将工艺工具适配器138与接地适配器104电隔离。绝缘环156、157可由适当的工艺相容的介电材料制成。
在一些实施方式中,第一组磁体196可邻近接地适配器104设置以帮助产生磁场,以引导从靶材114脱离的离子穿过外围区域133。在一些实施方式中,第二组磁体194可经设置在一位置以在准直器118的底部与基板之间形成磁场,以引导从靶114脱离的金属离子并将所述离子更均匀地分布在基板上101。在一些实施方式中,第三组磁体154可经设置在第一组磁体196与第二组磁体194之间,并且大约位于准直器118的中心区域135的面向基板的表面的中心或下方,以进一步引导金属离子朝向基板101的中心。
工艺工具适配器138包括一个或多个特征以促进将工艺工具支撑在内部空间106内,诸如准直器118。例如,如图1中所示,工艺工具适配器138包括安装环或架子164,其沿径向向内方向延伸以支撑上屏蔽件113。
在一些实施方式中,可在工艺工具适配器138中提供冷却剂通道166,以促进冷却剂流过工艺工具适配器138以去除处理期间产生的热量。例如,冷却剂通道166可经耦接至冷却设备153(冷却剂源)以提供适当的冷却剂,诸如水(去离子水)、氮气、氩气或其他惰性气体、清洁干燥空气(clean dry air;CDA)或腐蚀性气体等。冷却剂通道166有利地从工艺工具(例如,准直器118)去除热量,该热量不容易传递到其他冷却腔室部件,诸如接地适配器104。
提供径向向内延伸的壁架(例如,安装环或架子164)以将上屏蔽件113支撑在处理腔室100的内部空间106之内的中心开口内。在一些实施方式中,架子164设置在靠近冷却剂通道166的位置,以有助于在使用期间最大化从准直器118至在冷却剂通道166中流动的冷却剂的热传递。
在一些实施方式中,下屏蔽件120可在准直器118附近和接地适配器104或上侧壁102的内部提供。下屏蔽件120可以包括管状主体121,该管状主体121具有设置在管状主体121的上表面中的径向向外延伸的凸缘122。凸缘122提供与上侧壁102的上表面的配合界面。在一些实施方式中,下屏蔽件120的管状主体121可包括肩部区域123,肩部区域123的内径小于管状主体121的其余部分的内径。在一些实施方式中,管状主体121的内表面沿锥形表面124径向向内过渡至肩部区域123的内表面。屏蔽环126可经设置在处理腔室100中,邻近下屏蔽件120并且在下屏蔽件120与适配器板107的中间。屏蔽环126可至少部分地设置在由下屏蔽件120的肩部区域123的相对侧及适配器板107的内侧壁形成的凹槽128中。
在一些实施方式中,屏蔽环126可包括轴向突出的环形侧壁127,其内径大于下屏蔽件120的肩部区域123的外径。径向凸缘130从环形侧壁127延伸。径向凸缘130包括形成在径向凸缘130的下表面上的突起132。突起132可为从径向凸缘130的表面沿一定向延伸的圆形脊,该定向大体上平行于屏蔽环126的环形侧壁127的内径表面。突起132通常适于与形成在设置于基板支撑件108上的边缘环136中的凹槽134配合。凹槽134可为形成在边缘环136中的圆形凹槽。突起132和凹槽134的接合使屏蔽环126相对于基板支撑件108的纵向轴线居中。通过基板支撑件108与机器人叶片(未示出)之间的协调定位校准,基板101(示出为支撑在升降杆140上)相对于基板支撑件108的纵向轴线居中。
一个或多个额外的射频电源(例如,偏压电源)可经耦合至处理腔室100。例如,一哥或多个射频电源180可经由基板支撑件108耦合至处理腔室100,以在靶材114与基板支撑件108之间提供偏压功率,基板支撑件108导电以充当电极。例如,处理腔室100内存在等离子体的偏压导致负自偏压在基板支撑件108上形成,以便溅射材料(例如金属离子)可朝向基板101加速并进入形成在基板101中的任何高深宽比孔或特征的深处。在一些实施方式中,匹配网络(例如,匹配网络116)可经耦接在射频电源180与基板支撑件108之间。在一些实施方式中,射频电源180可具有在大约400Hz和大约60Mhz之间,诸如大约13.56MHz的频率。在至少一些实施方式中,射频电源可经配置为以两个或更多个频率提供射频功率。例如,在至少一些实施方式中,射频电源可为能够以不同频率提供射频功率的双频(例如,从大约2Mhz至大约60Mhz,诸如大约13.56Mhz至大约40MHz)。在至少一些实施方式中,可使用两个或更多个射频功率源,每个射频功率源可操作以提供不同频率的射频功率。例如,第一射频电源可操作以提供约13.56Mhz的频率的射频功率,而第二电源可操作以提供约40MHz的频率的射频功率。在至少一些实施方式中,电感耦合等离子体(inductively coupledplasma;ICP)线圈155(以虚线示出)可用于单独提供约2MHz的射频功率。在一些实施方式中,射频电源180提供幅度脉冲调变的相移键控信号,并且提供与匹配网络116操作的频率相同的射频功率,如下文将更详细描述的。
在操作中,其上设置有基板101的机器人叶片(未示出)延伸穿过基板传送端口109。基板支撑件108可经降低以允许将基板101传送至从基板支撑件108延伸的升降杆140。基板支撑件108和/或升降杆140的提升和降低可由耦合至基板支撑件108的驱动器142控制。基板101可下降至基板支撑件108的基板接收表面144上。通过将基板101定位在基板支撑件108的基板接收表面144上,可在基板101上执行溅射沉积。边缘环136可在处理期间与基板101电绝缘。
在溅射沉积之后,基板101可利用升降杆140升高至与基板支撑件108间隔开的位置。升高的位置可靠近与适配器板107相邻的屏蔽环126及反射器环148的一者或两者。适配器板107包括在反射器环148的下表面与适配器板107的凹表面152的中间位置处耦合至适配器板107的一个或多个灯150。灯150提供可见光或近可见光波长的光能和/或辐射能,诸如红外线(infra-red;Ir)和/或紫外线(ultraviolet;UV)光谱。来自灯150的能量朝向基板101的背侧(即,下表面)径向向内聚焦以加热基板101和沉积在其上的材料。
在将基板101控制至预定温度之后,将基板101降低至基板支撑件108的基板接收表面144上的位置。基板101可经由传导利用基板支撑件108中的热控制通道146快速冷却。例如,冷却设备153可经连接至基板支撑件108并且经配置以向基板支撑件提供一种或多种冷却剂。基板101可经由基板传送端口109从处理腔室100中移除以进行进一步处理。
控制器198经耦接至处理腔室100。控制器198包括中央处理单元160、存储器158,及支持电路162。控制器198用于控制工艺序列,调节从气源110进入处理腔室100的气流,以及控制靶材114的离子轰击。中央处理单元160可为可在工业环境中使用的任何形式的通用计算机处理器。软件例程(例如,指令)可存储于存储器158(例如,非暂时性计算机可读存储介质)中,诸如随机存取存储器、只读存储器、软盘或硬盘驱动器或其他形式的数字储存器。支持电路162通常经耦接至中央处理单元160并且可包含高速缓冲存储器、时钟电路、输入/输出子系统、电源等等。当由中央处理单元160执行时,软件例程将中央处理单元转换成控制处理腔室100的专用计算机,以使得根据本公开内容的实施方式执行下文公开的工艺。软件例程也可由位于处理腔室100远程的第二控制器(未图示)存储和/或执行。
在处理期间,材料从靶材114溅射并沉积在基板101的表面上。靶材114和基板支撑件108由电源117或射频电源180相对于彼此偏压以维持由气源110供应的处理气体(例如,氩气(Ar)、氦气(He)、氪气(Kr)、氖气(Ne)或氙气(Xe)中的至少一种)形成的等离子体。施加至准直器118的直流脉冲偏压功率也有助于控制通过准直器118的离子和中性粒子的比率,有利地增强了沟槽侧壁和底部填充能力。来自等离子体的离子经加速朝向靶材114并撞击靶材,导致靶材材料从靶材114上脱离。脱离的靶材材料及处理气体在基板101上形成具有所需成分的层。
图2A至图2C为根据本公开内容的至少一些实施方式的其上具有减少的悬垂的材料层的基板200(例如,基板101)的图。
基板200包含基底层202及包含特征206(例如,通孔、沟槽、双镶嵌等)的层204,特征206从层204的顶表面208延伸一深度至基底层202的暴露顶表面210(图2A)。在至少一些实施方式中,特征206的深度可延伸至层204的底表面,例如,基层202的顶表面210被一些层204覆盖并且未暴露。特征206具有由第一侧壁212和第二侧壁214限定的开口宽度WO。在一些实施方式中,第一侧壁212和第二侧壁214是连续侧壁(例如,圆形通孔)的相对面。开口宽度Wo为约3nm至约40nm,例如约10nm、14nm、16nm、20nm、22nm、26nm或28nm。
基底层202包含电介质。例如,在至少一些实施方式中,基底层302包含碳化硅(SiC)、碳氮化硅(SiCN)、氮化硅(SiN)、氧化硅(SiO2)、碳氧化硅(SiOC)、氮氧化硅或基本上由上述各者组成。如本文所定义,基本上由所述材料组成的材料包含以摩尔计大于或等于约95%、大于或等于约98%、大于或等于约99%或大于或等于约99.5的所述材料。
图3为根据本公开内容的至少一些实施方式的用于处理基板(例如,基板200)的方法300的流程图。方法300和与其相关的工艺由控制器198控制。
例如,再次参考图2A和图2B,在302处,方法300包含在PVD腔室(例如,处理腔室100)中溅射材料(例如,来自靶材114)以在包含特征(例如,特征206)的层上形成材料层(例如,材料层216),该特征从层的顶表面(例如,层204的顶表面208)延伸至其上沉积有层的层的顶表面(例如,基层202的顶表面210)一深度。如上所述,该材料可为包含铝(Al)、钴(Co)、铜(Cu)、铟(In)、钼(Mo)、镍(Ni)、铑(Rh)、钌(Ru)、钽(Ta)、钛(Ti)、钨(W)、上述各者的合金、上述各者的组合等中的至少一者的导电材料,或者为包含氧化铝(AlO2)、氧氮化铝(诸如ALON)、氮化铝(AlN)、氮化铑(RhN)、氮化钌(RuN)、氧化硅(SiO)、氮化钽(TaN)、氮氧化钽(TaOxNy)、氮氧化钛(TiOxNy)或氮化钨(WN)中的至少一者的介电材料。同样如上所述,该特征可具有由第一侧壁212和第二侧壁214限定的开口宽度(例如Wo)。材料层在顶表面处的侧向厚度(TL)可大于特征内的第一侧壁或第二侧壁上的厚度(TS)(参见图2B)。在至少一些实施方式中,在302处,材料层216可在特征外部的顶表面处具有约3nm至约40nm,例如,约10nm、14nm、16nm、20nm、22nm、26nm或28nm的侧向厚度TL。在至少一些实施方式中,在302处,材料层216可具有大约15nm的侧向厚度TL
TL与TS之间的差异通常称为悬垂。在特征顶部的特征开口Wo小于在第一侧壁212与第二侧壁214之间其上沉积有材料层216的特征的宽度。
方法300继续通过沉积蚀刻循环减少悬垂,该循环包含沉积阶段和蚀刻阶段。在至少一些实施方式中,在沉积蚀刻循环期间,可在蚀刻阶段之前执行沉积阶段,反之亦然。
例如,接下来,在304处,方法300包含通过以低功率用射频偏压对层偏压来在层204上(例如,在材料层216上方)沉积额外材料。例如,沉积阶段通过在低功率偏压下用射频偏压对顶表面208进行偏压,在顶表面208上(例如,在材料层216上)沉积额外材料层。例如,低功率偏压可为大约5W至大约300W(例如,大约70W至大约100W)。此外,低功率偏压可以双频提供,例如,2MHz至约60MHz。在至少一些实施方式中,如上所述,可在大约13.56Mhz至大约40MHz下提供低功率偏压。
接下来,在306处,方法300包含通过以高功率用射频偏压对层偏压来从层蚀刻材料层216。例如,蚀刻阶段通过以高功率偏压用射频偏压对顶表面208进行偏压,从顶表面208蚀刻材料层216。例如,高功率偏压可为约200W至约3000W(例如,约1000W、约1400W或约2000W)。发明者已经发现高功率偏压无法长时间施加至顶表面208。例如,若施加高功率偏压的时间过长,则基底层302可能会被高功率偏压损坏(例如,从基板到处理腔室的其他部分发生电弧)。因此,发明者发现,通过使用短猝发的高功率偏压和低功率偏压,可蚀刻材料层216而不损坏下层的基底层302。此外,高功率偏压可以双频提供,例如,2MHz至约60MHz。在至少一些实施方式中,可在大约13.56Mhz至大约40MHz的频率下提供低功率偏压。在至少一些实施方式中,可以相同频率或不同频率提供低功率偏压及高功率偏压。在一些实施方式中,基板(例如,包括基底层302和层204)大体上未损坏。
然后,在308处,该方法300包含以预定频率在低功率偏压与高功率偏压(在304与306之间)之间重复交替,以减小在顶表面处的侧向厚度与在特征之内的第一侧壁或第二侧壁上的侧向厚度之间的差异。例如,在深度蚀刻循环期间,沉积阶段和蚀刻阶段以交替方式重复。在至少一些实施方式中,沉积阶段和蚀刻阶段之间的时间被最小化。例如,在至少一些实施方式中,低功率偏压和高功率偏压所处的预定频率可为约1Hz至约100kHz,例如约10kHz。
类似地,占空比,其是以高功率将射频偏压施加至基板表面所花费的周期的时间百分比,可为约1%至约99%,例如约30%、约40%、约45%、约50%、约55%、约60%或约70%。
在308期间,确定特征206的开口宽度Wo是否足够。例如,若开口宽度Wo不够,则在308处执行额外的深度蚀刻循环。例如,重复308(例如,深度蚀刻循环),直至已去除了足够厚度的悬垂。例如,如图2C中所示,在多个深度蚀刻循环之后,基板具有具减少的悬垂的材料层216。即,特征206的顶表面处减小的侧向厚度TL与特征206内的第一侧壁212和第二侧壁214上的厚度TS之间的差异减小,参见图2B和图2C为例。在一些实施方式中,特征206内的厚度TS在深度蚀刻循环中大体上不变。或者,在一些实施方式中,特征206内的厚度TS通过深度蚀刻循环而增加。
深度蚀刻循环可在特征206之外的顶表面208上沉积额外材料层。例如,深度蚀刻循环在特征206之外的顶表面208上沉积约2nm至约8nm的额外材料层。在至少一些实施方式中,深度蚀刻循环在特征206之外的顶表面208上沉积约4nm至约6nm的额外材料层。
在308之后,若开口宽度Wo足够,则基板200可经历进一步处理。例如,在至少一些实施方式中,方法300进一步包含在特征206内沉积导电填充材料。导电填充材料包含与材料层216相同或不同的材料。在至少一些实施方式中,导电填充材料包含金属(例如,包含钴(Co)、铜(Cu)、铟(In)、铱(Ir)、钼(Mo)、铑(Rh)、钌(Ru)或钨(W)或金属合金(例如,CuMn、CuAl等)中的至少一者)。
在至少一些实施方式中,特征206的开口宽度Wo在溅射材料之前为约3nm至约40nm,溅射材料在特征206外部的顶表面208上形成厚度约为15nm的材料层216,并且在低功率偏压与高功率偏压之间重复交替于特征206之外的顶表面208上形成厚度约为6nm的额外材料层。在所述实施方式中,在溅射材料并在低功率偏压与高功率偏压之间重复交替之后,特征206的开口宽度Wo大于或等于约3nm。
虽然前述内容涉及本公开内容的实施方式,但是可在不背离本公开内容的基本范围的情况下设计本公开内容的其他及进一步实施方式。

Claims (20)

1.一种在基板上物理气相沉积的方法,包含以下步骤:
在物理气相沉积(PVD)腔室中从靶材溅射材料以在包含特征的层上形成材料层,所述特征从所述层的顶表面延伸至上面沉积所述层的基底层的顶表面一深度,所述特征具有由第一侧壁及第二侧壁限定的开口宽度,所述材料层在所述层的所述顶表面具有比在所述特征之内的所述第一侧壁或所述第二侧壁上的厚度更大的侧向厚度;
通过在低功率下用射频偏压将所述层偏压在所述层上沉积额外材料;
通过在高功率下用射频偏压将所述层偏压从所述层蚀刻所述材料层;和
以预定频率在所述低功率与所述高功率之间重复交替,以减小在所述层的所述顶表面处的所述侧向厚度与在所述特征之内的所述第一侧壁或所述第二侧壁上的所述侧向厚度之间的差异。
2.如权利要求1所述的方法,其中所述基板大体上未损坏。
3.如权利要求1所述的方法,其中所述射频偏压的占空比为约1%至约99%,并且其中所述占空比是以高功率将所述射频偏压施加至所述层的所述顶表面所花费的周期的时间百分比。
4.如权利要求1所述的方法,其中所述靶材包含铝(Al)、钴(Co)、铜(Cu)、铟(In)、钼(Mo)、镍(Ni)、铑(Rh)、钌(Ru)、钽(Ta)、钛(Ti)、钨(W)中的至少一者。
5.如权利要求1所述的方法,其中所述低功率为约5W至约100W。
6.如权利要求1所述的方法,其中所述高功率为约200W至约3000W。
7.如权利要求1所述的方法,其中所述预定频率为约1Hz至约10kHz。
8.如权利要求1所述的方法,其中溅射所述材料的步骤在所述顶表面上形成厚度约3nm至40nm的所述材料层。
9.如权利要求1所述的方法,其中在所述低功率与所述高功率之间重复交替的步骤在所述顶表面上形成厚度约3nm至20nm的所述材料层。
10.如权利要求1所述的方法,其中在溅射所述材料之前,所述特征的所述开口宽度为约3nm至约40nm。
11.如权利要求1所述的方法,其中在溅射所述材料之前,所述特征的所述开口宽度为约3nm至约20nm,
其中溅射所述材料的步骤在所述层的所述顶表面上形成厚度约3nm至40nm的所述材料层,
其中在所述低功率的射频偏压与所述高功率的射频偏压之间重复交替的步骤在所述顶表面上形成厚度约3nm至40nm的所述材料层,并且
其中在溅射所述材料并在所述低功率的射频偏压与所述高功率的射频偏压之间重复交替之后,所述特征的所述开口宽度大于或等于约7nm。
12.如权利要求1至11中任一项所述的方法,进一步包含以下步骤:在减小所述层的所述顶表面处的所述侧向厚度与所述特征内的所述第一侧壁或所述第二侧壁上的所述侧向厚度之间的差异之后,在所述特征内沉积导电填充材料。
13.一种在上面存储有指令的非暂时性计算机可读存储介质,当所述指令由处理器执行时在基板上进行物理气相沉积的方法,所述方法包含以下步骤:
在物理气相沉积(PVD)腔室中从靶材溅射材料以在包含特征的层上形成材料层,所述特征从所述层的顶表面延伸至上面沉积所述层的基底层的顶表面一深度,所述特征具有由第一侧壁及第二侧壁限定的开口宽度,所述材料层在所述层的所述顶表面具有比在所述特征之内的所述第一侧壁或所述第二侧壁上的厚度更大的侧向厚度;
通过在低功率下用射频偏压将所述层偏压在所述层上沉积额外材料;
通过在高功率下用射频偏压将所述层偏压从所述层蚀刻所述材料层;和
以预定频率在所述低功率与所述高功率之间重复交替,以减小在所述层的所述顶表面处的所述侧向厚度与在所述特征之内的所述第一侧壁或所述第二侧壁上的所述侧向厚度之间的差异。
14.如权利要求13所述的非暂时性计算机可读存储介质,其中所述基板大体上未损坏。
15.如权利要求13所述的非暂时性计算机可读存储介质,其中所述射频偏压在所述高功率下的占空比为约1%至约99%,并且其中所述占空比是以高功率将所述射频偏压施加至所述顶表面所花费的周期的时间百分比。
16.如权利要求13所述的非暂时性计算机可读存储介质,其中所述靶材包含铝(Al)、钴(Co)、铜(Cu)、铟(In)、钼(Mo)、镍(Ni)、铑(Rh)、钌(Ru)、钽(Ta)、钛(Ti)、钨(W)中的至少一者。
17.如权利要求13所述的非暂时性计算机可读存储介质,其中在所述低功率下的所述射频偏压为约5W至约300W。
18.如权利要求13所述的非暂时性计算机可读存储介质,其中在所述高功率下的所述射频偏压为约200W至约3000W。
19.如权利要求13至18中任一项所述的非暂时性计算机可读存储介质,其中所述预定频率为约1Hz至约10kHz。
20.一种在基板上物理气相沉积的方法,包含以下步骤:
在物理气相沉积(PVD)腔室中从靶材溅射材料以在包含特征的层上形成材料层,所述特征从所述层的顶表面延伸至上面沉积所述层的基底层的顶表面一深度,所述特征具有由第一侧壁及第二侧壁限定的开口宽度,所述材料层在所述层的所述顶表面具有比在所述特征之内的所述第一侧壁或所述第二侧壁上的厚度更大的侧向厚度;
通过在低功率下及从约2Mhz至约60MHz的双频率下用射频偏压将所述层偏压在所述层上沉积额外材料;
通过在高功率下及从约2Mhz至约60MHz的双频率下用射频偏压将所述层偏压从所述层蚀刻所述材料层;和
在所述双频率之内的预定频率下在所述低功率与所述高功率之间重复交替,以减小在所述层的所述顶表面处的所述侧向厚度与在所述特征之内的所述第一侧壁或所述第二侧壁上的所述侧向厚度之间的差异。
CN202280047352.5A 2021-07-14 2022-06-16 处理基板的方法及设备 Pending CN117597465A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/375,654 US20230017383A1 (en) 2021-07-14 2021-07-14 Methods and apparatus for processing a substrate
US17/375,654 2021-07-14
PCT/US2022/033809 WO2023287544A1 (en) 2021-07-14 2022-06-16 Methods and apparatus for processing a substrate

Publications (1)

Publication Number Publication Date
CN117597465A true CN117597465A (zh) 2024-02-23

Family

ID=84890406

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280047352.5A Pending CN117597465A (zh) 2021-07-14 2022-06-16 处理基板的方法及设备

Country Status (6)

Country Link
US (1) US20230017383A1 (zh)
EP (1) EP4370725A1 (zh)
KR (1) KR20240025032A (zh)
CN (1) CN117597465A (zh)
TW (1) TW202307239A (zh)
WO (1) WO2023287544A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230081862A1 (en) * 2021-09-10 2023-03-16 Tokyo Electron Limited Focus Ring Regeneration

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6755945B2 (en) * 2001-05-04 2004-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US6899796B2 (en) * 2003-01-10 2005-05-31 Applied Materials, Inc. Partially filling copper seed layer
US8298933B2 (en) * 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
US9175382B2 (en) * 2011-10-25 2015-11-03 Intermolecular, Inc. High metal ionization sputter gun
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
US10347500B1 (en) * 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US20210391176A1 (en) * 2020-06-16 2021-12-16 Applied Materials, Inc. Overhang reduction using pulsed bias

Also Published As

Publication number Publication date
EP4370725A1 (en) 2024-05-22
KR20240025032A (ko) 2024-02-26
TW202307239A (zh) 2023-02-16
US20230017383A1 (en) 2023-01-19
WO2023287544A1 (en) 2023-01-19

Similar Documents

Publication Publication Date Title
TWI569310B (zh) 用以於基材上形成層之方法
CN108780742B (zh) 用于在物理气相沉积工艺中控制离子分数的方法和设备
CN109930118B (zh) 用于物理气相沉积腔室的双极准直器
CN106415785B (zh) 用于改良的金属离子过滤的方法和设备
US20150354054A1 (en) Cooled process tool adapter for use in substrate processing chambers
US20140046475A1 (en) Method and apparatus deposition process synchronization
JP7155388B2 (ja) ニッケルシリサイド材料を生成する方法
US20200048760A1 (en) High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage
KR20140001203A (ko) 고 종횡비 피쳐들 내에 금속을 증착하기 위한 방법들
US10157733B2 (en) Methods for igniting a plasma in a substrate processing chamber
CN117597465A (zh) 处理基板的方法及设备
JP4762187B2 (ja) マグネトロンスパッタリング装置および半導体装置の製造方法
US11562925B2 (en) Method of depositing multilayer stack including copper over features of a device structure
US11952655B2 (en) Electromagnet pulsing effect on PVD step coverage
US11527437B2 (en) Methods and apparatus for intermixing layer for enhanced metal reflow
WO2022250937A1 (en) Methods and apparatus for processing a substrate
WO2022240704A1 (en) Pulsing plasma treatment for film densification

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination