CN117556775A - 多目标芯片电路参数优化设计方法 - Google Patents

多目标芯片电路参数优化设计方法 Download PDF

Info

Publication number
CN117556775A
CN117556775A CN202410036351.1A CN202410036351A CN117556775A CN 117556775 A CN117556775 A CN 117556775A CN 202410036351 A CN202410036351 A CN 202410036351A CN 117556775 A CN117556775 A CN 117556775A
Authority
CN
China
Prior art keywords
circuit
model
pareto
parameters
individuals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202410036351.1A
Other languages
English (en)
Other versions
CN117556775B (zh
Inventor
刘煜
胡旺
章语
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Electronic Science and Technology of China
Original Assignee
University of Electronic Science and Technology of China
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Electronic Science and Technology of China filed Critical University of Electronic Science and Technology of China
Priority to CN202410036351.1A priority Critical patent/CN117556775B/zh
Publication of CN117556775A publication Critical patent/CN117556775A/zh
Application granted granted Critical
Publication of CN117556775B publication Critical patent/CN117556775B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/25Fusion techniques
    • G06F18/253Fusion techniques of extracted features
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/004Artificial life, i.e. computing arrangements simulating life
    • G06N3/006Artificial life, i.e. computing arrangements simulating life based on simulated virtual individual or collective life forms, e.g. social simulations or particle swarm optimisation [PSO]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/12Printed circuit boards [PCB] or multi-chip modules [MCM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Artificial Intelligence (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Biology (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Biophysics (AREA)
  • Architecture (AREA)
  • Health & Medical Sciences (AREA)
  • Biomedical Technology (AREA)
  • Geometry (AREA)
  • Computational Linguistics (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明提供了多目标芯片电路参数优化设计方法,属于芯片优化设计领域。本发明包括:根据需求确定电子元件和电路拓扑结构,以实现芯片所需的具体功能;将该电路元器件参数编码为适合于多目标智能优化算法的数据结构;初始化N个电路拓扑结构和元器件数量作为种群中的个体并进行适应度评估;表征新的元器件参数的子代生成;基于仿真器或代理模型的适应度评估;最优档案的更新;代理模型的创建或更新;重复上述步骤获得最终最优电路元器件参数。本发明使用了数据驱动的多目标优化方法解决电路参数设计问题,充分利用了智能化仿真工具的准确性,在进化过程中适当的矫正代理模型,使其能随着进化后期样本数据的增加,提高整个进化过程的搜索准确性。

Description

多目标芯片电路参数优化设计方法
技术领域
本发明属于芯片优化设计领域,具体涉及一种多目标芯片电路参数优化设计方法。
背景技术
在芯片设计领域中,电路设计扮演着关键的角色。它是将各种电子元件(如晶体管、电阻、电容等)按照特定的功能和性能要求组合在一起,构成一个完整的电路系统的过程。而电路设计的作用主要包括实现功能(电路设计通过选择合适的电子元件和电路拓扑结构,实现芯片所需的具体功能。比如,如果设计一个音频芯片,电路设计需要实现音频信号的输入、放大、滤波、输出等功能)、优化性能(电路设计可以通过优化电路结构、调整参数等手段,提高芯片的性能。例如,提高工作频率、降低功耗、提高信噪比等)、节约资源(电路设计需要考虑资源利用效率,使芯片在有限的资源下达到最佳性能。这包括考虑电路复杂度、占用面积、功耗等方面)等几个方面。
随着计算机技术的不断发展,智能化的手段越来越多地应用于芯片优化设计中。但现有的方法大多集中在用神经网络进行建模学习和预测,抑或是未使用多目标优化算法去同时权衡多个目标。虽然有很多智能化仿真工具可以对电路进行快速准确的仿真分析,但需要人工经验的介入与调整,导致芯片设计的整体开发周期较长,并且人力投入较大。
以上利用神经网络进行建模学习和预测、人工经验的介入与调整智能化仿真工具等方法存在着预测结果和实际结果较大的误差,或是无法同时考虑多个冲突的目标,抑或是需要人工大量的时间成本去调整智能化仿真工具等问题。
发明内容
本发明的目的在于:提供多目标芯片电路参数优化设计方法,以解决上述现有的芯片优化设计方法中需要人工调整智能化仿真工具参数,无法兼顾多个冲突的目标,未充分利用智能化仿真工具中获取的数据用于动态构建优化模型的问题。
本发明采用的技术方案如下:
多目标芯片电路参数优化设计方法,所述方法下列步骤:
步骤1、根据需求确定合适的电子元件和电路拓扑结构,以实现芯片所需的具体功能;并确定该芯片两个及以上的指标作为优化的目标;
步骤2、选择编码方式将电路元器件参数编码,表征进化算法中的N个个体,作为决策变量;
步骤3、初始化N个个体,表征不同电路元器件参数;
步骤4、对初始化的N个个体进行适应度评估;即将N个个体用智能化仿真工具获得关注的电路目标性能中的结果;
步骤5、对N个个体执行进化算法中的后代生成算子操作获得N个子代,并表征N个子代的元器件参数;
步骤6、对N个子代进行基于迭代选择的适应度评估;如果本次进化迭代次数为奇数,就借助真实的智能化仿真工具进行适应度的评估;如果本次进化迭代次数为偶数,就借助集成代理模型进行适应度的评估;
步骤7、最优档案的创建与更新;建立最优个体档案,用于储存N个最优个体,初始状态下档案中包含N个个体中的部分或全部,然后基于多模型随机排序和帕累托占优的方式去更新对当前档案里的最优个体,具体操作如下:
首先使用多模型随机排序方法选取部分代理模型,并将这些代理模型对于子代电路的优化目标进行预测,随后将子代和档案中原本存在的个体进行融合并更新;如果子代和原本存在的个体融合后未超过档案的设定大小N,那么将子代直接融合进当前档案;如果子代和原本存在的个体融合后超过档案的设定大小N,那么需要先对个体进行帕累托分层支配排序,并寻找到帕累托占优层级的最后一层,并将帕累托占优层级的最后一层的个体进行拥挤度排序,最后将拥挤度过高的个体删除,直到满足档案的设定大小N
步骤8、集成代理模型的创建与更新;如果代理模型还未构建,首先构建集成代理模型,具体步骤如下:
步骤8.1:使用异构集成学习策略,具体为分别使用多个代理模型去拟合芯片的历史数据,并按照划分训练数据和测试数据;
步骤8.2:使用训练数据对代理模型进行训练,在训练过程中采用均方差和正则化项作为代理模型的两个损失函数;
步骤8.3:采用多目标粒子群算法优化两个损失函数并获取对应的训练误差和正则化项的帕累托阈值前沿;
步骤8.4:采用两级最优决策方法在训练误差帕累托阈值前沿中选出指定数量的候选解集,并根据解集在预测数据上的最终性能来确定代理模型的参数;具体而言,第一级决策先根据每个代理模型中帕累托前沿分布的多样性情况选择均匀分布的n个范围,然后在第二级决策的时候每个范围里根据领域知识经验选择一个确定的候选解集,在训练误差帕累托阈值前沿中选出n个候选解集,通过这n个解集所对应的参数构建成所对应的代理模型,然后使用测试数据预测并计算对应的均方差,最后选择在测试数据上的均方差最小的参数并完成集成代理模型的构建;
在迭代时使用之前通过智能化仿真工具获取到的数据样本用于代理模型的更新。
步骤9:若当前档案中的最优个体的适应度评估满足用户指定的性能指标,则从档案中获取最终的包含当前电路拓扑结构和元器件数量下的最优元器件参数,用于用户最终的决策;若当前档案中的最优个体的适应度评估未满足用户指定的性能指标,则重复步骤5-步骤9,直至满足迭代终止条件。
作为优选,步骤1中所述芯片的优化指标包括增益、带宽、功耗、处理速度、面积、可靠性、安全性、兼容性、成本。
作为优选,步骤2中将电路元器件参数编码的编码方式为根据设定的规则将元器件参数实数化为一条实数串。
作为优选,设定的规则包括但不限于按照元器件实际电路连接走线决定顺序。
作为优选,智能化仿真工具为仿真器cadence。
作为优选,后代生成算子操作为遗传算法,粒子群优化算法,退火算法中的任意一种。
作为优选,帕累托占优的方式为帕累托占优比较方法、松弛帕累托占优比较方法或任意一种用于区分多维变量的比较方法;
作为优选,多模型随机排序方法为每次随机选取一半的代理模型进行集成预测。
作为优选,代理模型包括但不限于不同核函数的径向基神经网络中的一种、不同激活函数的前向全连接神经网络中的一种、高斯过程回归、随机森林。
由于采用了上述技术方案,本发明的有益效果是:
1、使用了数据驱动的多目标优化方法解决电路参数设计问题,充分利用了智能化仿真工具的准确性,和传统的只使用代理模型的方法相比,能在进化过程中适当的矫正代理模型,使其能随着进化后期样本数据的增加,提高整个进化过程的搜索准确性。
2、使用了集成代理模型策略。在代理模型的构建过程中,使用了异构集成学习策略,能够充分互补不同模型在不同区域预测能力的差异性,用于提高整体算法获取的电路参数的优越性。
3、通过多目标粒子群方法和帕累托占优等多目标智能优化方法,可以快速找到同时满足芯片电路设计要求的多个冲突性能,提高芯片优化设计的可操作性。
附图说明
图1是本发明的处理过程示意图。
图2是本发明实施例中,数据驱动的多目标芯片在线优化模块示意图。
图3是本发明实施例中,基于多模型随机排序的代理模型适应度评估模块示意图。
具体实施方式
为使本发明的目的、技术方案和优点更加清楚,下面将结合附图对本发明实施方式作进一步地详细描述。
作为一种可能的实现方式,本发明实施例提供的基于多目标优化的芯片智能优化设计方法如图1所示,首先确定合适的电子元件和电路拓扑结构、待优化的性能目标,然后确定电路元器件参数的编码方式,然后通过数据驱动的多目标芯片在线优化模块进行优化,得到包含最优电路元器件参数的档案,最后用户来验证芯片优化结果,根据优化结果进行迭代更新;具体步骤如下:
步骤1、根据需求确定合适的电子元件和电路拓扑结构,以实现芯片所需的具体功能,并确定该芯片中两个及以上需要重点考虑的指标作为优化的目标;
本实施例为设计一个功率放大电路,该电路中包含交流源,直流源,电阻,电容,电感,三极管,二极管。电路结构已经确定,确定增益和带宽作为优化目标,通过调整电路中元器件的参数,用于获得较大的增益和带宽。
步骤2、选择编码方式将电路元器件参数编码,表征进化算法中的N个个体,作为决策变量;
本实施例中,由于电路拓扑结构已经确定,将待优化的元器件按照x=(R,C,L)的编码方式所对应的实数串用于表征进化算法中的一个个体(即决策变量),其中,元器件包括电阻的阻值R=(r1,r2,…,r k ),电容的大小C=(c1,c2,…,c m ),电感的大小L=(l1,l2,…,l n ),其中k代表电阻的数量,m代表电容的数量,n代表电感的数量。
步骤3、如图2所示,初始化表征N个不同电路元器件参数的个体;
本实施例中,需要在预先给定的电阻、电容、电感的上下界范围内初始化为特定的个体,可以通过随机初始化,其中/>=(R upper ,C upper ,L upper ),R upper ,C upper ,L upper 分别代表每个电阻、电容、电感的最大值,/>=(R lower ,C lower ,L lower ),R lower ,C lower ,L lower 分别代表每个电阻、电容、电感的最小值。
步骤4、如图2所示,基于仿真器的适应度评估;将N个个体用智能化仿真工具获得关注的电路目标性能中的结果;
本实施例中,使用cadence仿真工具预先生成确定好的电路结构,然后使用matlab或python将需要评估的N个个体的决策变量x=(R,C,L)写回到cadence仿真工具可以执行仿真的网表文件中所对应的元器件参数的位置,再使用cadence仿真工具进行仿真,并再次利用matlab或python读取并计算仿真出的性能指标,并将性能指标(电路的增益和带宽)作为该个体的适应度值继续用于进化。
步骤5、如图2所示,表征新的元器件参数的子代生成;对N个个体执行进化算法中的后代生成算子操作获得N个子代;
通过对当前个体执行后代生成算子可以获得子代,后代生成算子可以使用粒子群的速度更新公式,即
其中,w代表粒子群算法中的惯性权重,c1代表全局认知参数,c2代表个体认知参数,r1和r2为0到1的随机数,v i (t)代表第i个粒子在第t个迭代次数下的速度,同理,v i (t+1)代表第i个粒子在第t+1个迭代次数下的速度;x i 代表第i个粒子的位置,其中x i (t)代表第i个粒子在第t个迭代次数下的位置(即实数串编码的决策变量),x i (t+1)代表第i个粒子在第t+1个迭代次数下的位置;gBest i代表第i个粒子的全局最优解,pBest i代表第i个粒子的个体最优解。
步骤6、基于仿真器或代理模型的适应度评估。如图2所示,如果本次进化迭代次数为奇数,就借助真实的智能化仿真工具进行适应度的评估;如果本次进化迭代次数为偶数,就借助代理模型进行适应度的评估;
本实施例中,需要交替使用代理模型和真实电路智能仿真器cadence进行适应度评估,因为电路智能仿真器的仿真速度较慢,在总时间一定的情况下,无法通过较多的函数评估次数改善整个电路优化设计的流程。故使用了历史仿真数据构建了代理模型,用于代替一部分子代新电路的增益和带宽性能评估的操作。具体而言,如图2所示,如果本次迭代次数为奇数,就使用cadence仿真工具如步骤4一样的方法去评估个体的实数串x。如果本次迭代次数为偶数,就使用代理模型方法评估,即将需要评估的个体的实数串x带入到代理模型中去,并获得代理模型预测出的值作为性能值。
步骤7、最优档案的更新。基于多模型随机排序和帕累托占优的方式去更新对当前档案里的最优个体;
本实施例中,由于使用了基于集成思想的代理模型构建方法,可以提升整体对于电路增益和带宽的预测鲁棒性。为了进一步提高进化过程中电路的多样性,引入了随机排序策略,如图3所示,基于多模型随机排序和帕累托占优的方式具体步骤为:首先通过随机选择集成模型中一半数量的代理模型,并将这些模型对于子代仿真电路的增益和带宽进行预测;随后需要将新获得的子代(即通过步骤5中生成的代表新电路的子代)和档案中原本存在的个体进行融合并更新。如果子代和原本存在的个体融合后未超过档案的设定大小N,那么将子代直接融合进当前档案。如果子代和原本存在的个体融合后超过档案的设定大小N,那么需要先对个体进行帕累托分层支配排序,并寻找到帕累托占优层级的最后一层,并将帕累托占优层级的最后一层的个体进行拥挤度排序,最后将拥挤度过高的个体删除,直到满足档案的设定大小N
步骤8、代理模型的创建或更新。如果代理模型还未构建,首先构建集成代理模型。初始化多个异构代理模型,然后将之前通过智能化仿真工具获取到的数据样本用于模型学习训练构成初始的代理模型。如果代理模型已被构建,则开始代理模型的更新;
本实施例中代理模型的创建过程如下:
步骤8.1:使用异构集成学习策略,具体为分别使用全连接网络、径向基神经网络、高斯过程回归、随机森林这4个代理模型去拟合芯片的历史数据,并按照4:1的比例划分训练数据和测试数据,
步骤8.2:在训练过程中采用MSE(均方差)和正则化项作为代理模型的两个损失函数;
步骤8.3:采用多目标粒子群算法优化两个损失函数并获取对应的训练误差和正则化项的帕累托阈值前沿;
步骤8.4:采用两级最优决策方法,第一级决策先根据帕累托前沿分布的多样性情况选择均匀分布的5个范围,然后在第二级决策的时候每个范围里根据领域知识经验选择一个确定的候选解集,在训练误差帕累托阈值前沿中选出5个候选解集,并根据这5个解集在预测数据上的最终性能来确定代理模型的参数;具体而言,首先通过这5个解集所对应的参数构建成所对应的代理模型,并将代理模型用于预测之前按照4:1划分的测试数据并计算对应的MSE,最后选择在测试数据上的MSE最小的参数并完成了代理模型的构建。
本实施例中代理模型的更新过程,使用相似的网络结构与训练数据划分方式,然后将新融入的数据按照增量学习等方式平滑的将模型参数进行更新。
步骤9:若当前档案中的最优个体的适应度评估满足用户指定的性能指标,则从档案中获取最终的包含当前电路拓扑结构和元器件数量下的最优元器件参数,用于用户最终的决策;若当前档案中的最优个体的适应度评估未满足用户指定的性能指标,则重复步骤5-步骤9,直至满足迭代终止条件。
本实施例中,通过上述步骤所获得的芯片的帕累托阈值前沿,是由一组互不占优的芯片性能指标值所组成,通过数据驱动的多目标优化方法,对上述代理模型和电路仿真器进行优化求解而得到。
最后应说明的是:以上实施例仅用以说明本发明的技术方案,而非对其限制;尽管参照前述实施例对本发明进行了详细的说明,本领域的普通技术人员应当理解:其依然可以对前述各实施例所记载的技术方案进行修改,或者对其中部分技术特征进行等同替换;而这些修改或者替换,并不使相应技术方案的本质脱离本发明各实施例技术方案的精神和范围。
以上所述的仅是本发明的一些实施方式。对于本领域的普通技术人员来说,在不脱离本发明创造构思的前提下,还可以做出若干变形和改进,这些都属于本发明的保护范围。

Claims (9)

1.多目标芯片电路参数优化设计方法,其特征在于,包括下列步骤:
步骤1、根据需求确定电子元件和电路拓扑结构,以实现芯片所需的具体功能;并确定该芯片两个及以上的指标作为优化的目标;
步骤2、选择编码方式将电路元器件参数编码,表征进化算法中的N个个体,作为决策变量;
步骤3、初始化N个个体,表征不同电路元器件参数;
步骤4、对初始化的N个个体进行适应度评估;即将N个个体用智能化仿真工具获得关注的电路目标性能中的结果;
步骤5、对N个个体执行进化算法中的后代生成算子操作获得N个子代,并表征N个子代的元器件参数;
步骤6、对N个子代进行基于迭代选择的适应度评估;如果本次进化迭代次数为奇数,就借助真实的智能化仿真工具进行适应度的评估;如果本次进化迭代次数为偶数,就借助集成代理模型进行适应度的评估;
步骤7、最优档案的创建与更新;建立最优个体档案,用于储存N个最优个体,初始状态下档案中包含N个个体中的部分或全部,然后基于多模型随机排序和帕累托占优的方式去更新对当前档案里的最优个体,具体操作如下:
首先使用多模型随机排序方法选取部分代理模型,并将这些代理模型对于子代电路的优化目标进行预测,随后将子代和档案中原本存在的个体进行融合并更新;如果子代和原本存在的个体融合后未超过档案的设定大小N,那么将子代直接融合进当前档案;如果子代和原本存在的个体融合后超过档案的设定大小N,那么需要先对个体进行帕累托分层支配排序,并寻找到帕累托占优层级的最后一层,并将帕累托占优层级的最后一层的个体进行拥挤度排序,最后将拥挤度过高的个体删除,直到满足档案的设定大小N
步骤8、集成代理模型的创建与更新;如果代理模型还未构建,首先构建集成代理模型,具体步骤如下:
步骤8.1:使用异构集成学习策略,具体为分别使用多个代理模型去拟合芯片的历史数据,并划分训练数据和测试数据;
步骤8.2:使用训练数据对代理模型进行训练,在训练过程中采用均方差和正则化项作为代理模型的两个损失函数;
步骤8.3:采用多目标粒子群算法优化两个损失函数并获取对应的训练误差和正则化项的帕累托阈值前沿;
步骤8.4:采用两级最优决策方法在训练误差帕累托阈值前沿中选出指定数量的候选解集,并根据解集在预测数据上的最终性能来确定代理模型的参数;具体而言,第一级决策先根据每个代理模型中帕累托前沿分布的多样性情况选择均匀分布的n个范围,然后在第二级决策的时候每个范围里选择一个确定的候选解集,在训练误差帕累托阈值前沿中选出n个候选解集,通过这n个解集所对应的参数构建成所对应的代理模型,然后使用测试数据预测并计算对应的均方差,最后选择在测试数据上的均方差最小的参数并完成集成代理模型的构建;
在迭代时使用之前通过智能化仿真工具获取到的数据样本用于代理模型的更新;
步骤9:若当前档案中的最优个体的适应度评估满足用户指定的性能指标,则从档案中获取最终的包含当前电路拓扑结构和元器件数量下的最优元器件参数,用于用户最终的决策;若当前档案中的最优个体的适应度评估未满足用户指定的性能指标,则重复步骤5-步骤9,直至满足迭代终止条件。
2.根据权利要求1所述的多目标芯片电路参数优化设计方法,其特征在于,步骤1中所述芯片的优化指标包括增益、带宽、功耗、处理速度、面积、可靠性、安全性、兼容性、成本。
3.根据权利要求2所述的多目标芯片电路参数优化设计方法,其特征在于,步骤2中将电路元器件参数编码的编码方式为根据设定的规则将元器件参数实数化为一条实数串。
4.根据权利要求3所述的多目标芯片电路参数优化设计方法,其特征在于,所述设定的规则包括但不限于按照元器件实际电路连接走线决定顺序。
5.根据权利要求4所述的多目标芯片电路参数优化设计方法,其特征在于,所述智能化仿真工具为仿真器cadence。
6.根据权利要求5所述的多目标芯片电路参数优化设计方法,其特征在于,所述后代生成算子操作为遗传算法,粒子群优化算法,退火算法中的任意一种。
7.根据权利要求6所述的多目标芯片电路参数优化设计方法,其特征在于,所述步骤7中帕累托占优的方式为帕累托占优比较方法、松弛帕累托占优比较方法或任意一种用于区分多维变量的比较方法;
所述多模型随机排序方法为每次随机选取一半的代理模型进行集成预测。
8.根据权利要求7所述的多目标芯片电路参数优化设计方法,其特征在于,所述代理模型为不同核函数的径向基神经网络中的一种、不同激活函数的前向全连接神经网络中的一种、高斯过程回归、随机森林。
9.根据权利要求8所述的多目标芯片电路参数优化设计方法,其特征在于,所述集成代理模型的更新过程为:将新融入的数据按照增量学习的方式平滑地将模型参数进行更新。
CN202410036351.1A 2024-01-10 2024-01-10 多目标芯片电路参数优化设计方法 Active CN117556775B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202410036351.1A CN117556775B (zh) 2024-01-10 2024-01-10 多目标芯片电路参数优化设计方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202410036351.1A CN117556775B (zh) 2024-01-10 2024-01-10 多目标芯片电路参数优化设计方法

Publications (2)

Publication Number Publication Date
CN117556775A true CN117556775A (zh) 2024-02-13
CN117556775B CN117556775B (zh) 2024-03-22

Family

ID=89820835

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202410036351.1A Active CN117556775B (zh) 2024-01-10 2024-01-10 多目标芯片电路参数优化设计方法

Country Status (1)

Country Link
CN (1) CN117556775B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117892667A (zh) * 2024-03-15 2024-04-16 广东琴智科技研究院有限公司 运算器芯片设置方法、计算子系统以及智能计算平台
CN117910410A (zh) * 2024-03-19 2024-04-19 电子科技大学 大规模多目标模拟芯片电路进化优化设计方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110245436A (zh) * 2019-06-19 2019-09-17 山东大学 一种基于遗传算法和机器学习的并行模拟电路优化方法
CN114239404A (zh) * 2021-12-17 2022-03-25 电子科技大学 一种基于多目标优化的材料智能优化设计方法
CN116484787A (zh) * 2022-01-13 2023-07-25 复旦大学 一种基于贝叶斯优化的多Testbench模拟电路优化方法
CN116579371A (zh) * 2023-05-18 2023-08-11 南京信息工程大学 一种双层优化异构代理模型辅助多目标进化优化计算方法
CN117454824A (zh) * 2023-12-26 2024-01-26 电子科技大学 基于双层多目标优化的芯片电路设计方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110245436A (zh) * 2019-06-19 2019-09-17 山东大学 一种基于遗传算法和机器学习的并行模拟电路优化方法
US20230092630A1 (en) * 2019-06-19 2023-03-23 Shan Dong University A parallel analog circuit optimization method based on genetic algorithm and machine learning
CN114239404A (zh) * 2021-12-17 2022-03-25 电子科技大学 一种基于多目标优化的材料智能优化设计方法
CN116484787A (zh) * 2022-01-13 2023-07-25 复旦大学 一种基于贝叶斯优化的多Testbench模拟电路优化方法
CN116579371A (zh) * 2023-05-18 2023-08-11 南京信息工程大学 一种双层优化异构代理模型辅助多目标进化优化计算方法
CN117454824A (zh) * 2023-12-26 2024-01-26 电子科技大学 基于双层多目标优化的芯片电路设计方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
ANTE SIKIRICA 等: "Machine learning based surrogate models for microchannel heat sink optimization", 《APPLIED THERMAL ENGINEERING》, vol. 222, 5 March 2023 (2023-03-05), pages 1 - 18 *
苏文伟: "基于集成模型辅助的群体智能优化算法研究", 《中国优秀硕士学位论文全文数据库 信息科技辑》, no. 1, 15 January 2023 (2023-01-15), pages 140 - 527 *
袁立强;陆子贤;孙建宁;段任之;赵争鸣;: "电能路由器设计自动化综述―设计流程架构和遗传算法", 电工技术学报, vol. 35, no. 18, 25 September 2020 (2020-09-25), pages 3878 - 3893 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117892667A (zh) * 2024-03-15 2024-04-16 广东琴智科技研究院有限公司 运算器芯片设置方法、计算子系统以及智能计算平台
CN117892667B (zh) * 2024-03-15 2024-06-04 广东琴智科技研究院有限公司 运算器芯片设置方法、计算子系统以及智能计算平台
CN117910410A (zh) * 2024-03-19 2024-04-19 电子科技大学 大规模多目标模拟芯片电路进化优化设计方法
CN117910410B (zh) * 2024-03-19 2024-05-31 电子科技大学 大规模多目标模拟芯片电路进化优化设计方法

Also Published As

Publication number Publication date
CN117556775B (zh) 2024-03-22

Similar Documents

Publication Publication Date Title
CN117556775B (zh) 多目标芯片电路参数优化设计方法
Leung et al. Tuning of the structure and parameters of a neural network using an improved genetic algorithm
US11886786B2 (en) Two-step x-architecture steiner minimum tree construction method
CN108334949A (zh) 一种优化深度卷积神经网络结构的快速进化方法
CN117454824B (zh) 基于双层多目标优化的芯片电路设计方法
Vergidis et al. Business process improvement using multi-objective optimisation
CN114373101A (zh) 基于进化策略的神经网络架构搜索的图像分类方法
CN114880314B (zh) 应用人工智能策略的大数据清洗决策方法及ai处理系统
CN116402002B (zh) 一种用于芯片布局问题的多目标分层强化学习方法
CN110738362A (zh) 一种基于改进的多元宇宙算法构建预测模型的方法
Rovithakis et al. High-order neural network structure selection for function approximation applications using genetic algorithms
CN115481727A (zh) 一种基于进化计算的意图识别神经网络生成与优化方法
CN116720438A (zh) 基于多源矿山数据的数字孪生矿山生产系统及构建方法
Büche Multi-objective evolutionary optimization of gas turbine components
Syberfeldt et al. A parallel surrogate-assisted multi-objective evolutionary algorithm for computationally expensive optimization problems
US20030046278A1 (en) Method of robust technology design using rational robust optimization
Aran et al. An incremental framework based on cross-validation for estimating the architecture of a multilayer perceptron
US6807652B2 (en) Method of robust semiconductor circuit products design using rational robust optimization
CN117149615A (zh) 一种测试用例执行路径的生成方法及相应的装置
EP4388445A1 (en) Gan distributed rf power amplifier automation design with deep reinforcement learning
CN115620046A (zh) 一种基于半监督性能预测器的多目标神经架构搜索方法
Pillans Efficiency of evolutionary search for analog filter synthesis
Onat et al. Recurrent neural networks for reinforcement learning: architecture, learning algorithms and internal representation
CN114139937A (zh) 一种室内热舒适数据生成方法、系统、设备及介质
CN113642226A (zh) 基于多目标进化算法的公平机器学习模型的训练方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant