CN117425858A - 掩模版冷却罩 - Google Patents

掩模版冷却罩 Download PDF

Info

Publication number
CN117425858A
CN117425858A CN202280040604.1A CN202280040604A CN117425858A CN 117425858 A CN117425858 A CN 117425858A CN 202280040604 A CN202280040604 A CN 202280040604A CN 117425858 A CN117425858 A CN 117425858A
Authority
CN
China
Prior art keywords
reticle
cooling
lithographic apparatus
aspects
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280040604.1A
Other languages
English (en)
Inventor
M·A·范德克尔克霍夫
V·A·佩雷斯-福尔肯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN117425858A publication Critical patent/CN117425858A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging

Landscapes

  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供了用于从掩模版去除热量的系统、设备以及方法。示例性方法可以包括由冷却控制器基于通过照射由掩模版台支撑的掩模版上的曝光区域而形成的经图案化的辐射束的投影的定时数据、曝光区域的吸收数据以及目标传热率生成冷却控制信号。冷却控制信号可以指示掩模版冷却设备使致动器致动,以修改掩模版和室的腔顶之间的距离。方法还可以包括由致动器基于冷却控制信号修改掩模版和腔顶之间的距离,以朝向目标传热率修改与从掩模版去除热量相关联的传热率。

Description

掩模版冷却罩
相关申请的交叉引用
本申请要求于2021年6月14日提交的US临时专利申请63/210,394的优先权,该申请通过引用整体并入本文。
技术领域
本公开涉及光刻设备,更具体地,涉及从光刻设备中使用的掩模版去除热量。
背景技术
光刻设备是将期望的图案施加至衬底上(通常施加至衬底的目标部分上)的机器。光刻设备可以用于例如集成电路(IC)的制造中。在那种情况下,图案形成装置(其替代地称为掩模或掩模版)可以用以产生待形成在正在形成的IC的单层上的电路图案。这种图案可以转印至衬底(例如,硅晶片)上的目标部分(例如,包括管芯的部分、一个管芯或若干管芯)上。图案的转印通常经由成像至被设置在衬底上的辐射敏感材料(抗蚀剂)层上来进行。通常,单个衬底将包含被连续地图案化的相邻目标部分的网络。传统的光刻设备包括所谓的步进器,其中通过将整个图案一次性曝光到目标位置来辐照每个目标位置,以及所谓的扫描器,其中通过在使辐射束以给定方向(“扫描”方向)扫描图案的同时同步地扫描与所述扫描方向平行或反平行(例如,与扫描方向相反)的目标部分来辐照每个目标位置。还可以通过将图案印制到衬底上来将图案从图案形成装置转印到衬底。
随着半导体制造工艺的持续进步,电路元件的尺寸已持续不断地减小,而每个器件的功能元件(诸如晶体管)的数量几十年来一直在稳步地增加,所遵循的趋势通常被称为“摩尔定律”。为了跟上摩尔定律,半导体行业一直在追求能够创建越来越小特征的技术。为了在衬底上投影图案,光刻设备可以使用电磁辐射。这种辐射的波长确定了在所述衬底上图案化的特征的最小尺寸。目前使用的典型波长为365nm(i线)、248nm、193nm和13.5nm。
极紫外(EUV)辐射,例如波长约为50纳米(nm)或更小(有时也称为软x射线)且包括波长约为13.5nm的光的电磁辐射可以在光刻设备中或与光刻设备一起使用,以在衬底(例如硅晶片)中或所述衬底上产生极小的特征。与使用例如波长为193nm的辐射的光刻设备相比,使用波长在4nm至20nm范围内(例如,6.7nm或13.5nm)的EUV辐射的光刻设备可以用于在衬底上形成更小的特征。
产生EUV光的方法包括但不一定限于,将具有发射线在EUV范围内的元素(例如氙(Xe)、锂(Li)或锡(Sn))的材料转换为等离子体状态。例如,在一种称为激光产生等离子体(LPP)的方法中,等离子体可以通过用可称为驱动激光的放大光束照射目标材料产生,所述目标材料在LPP源的上下文中可替换地称为燃料,例如液滴、板、带、流或簇形式的材料。对于该过程,等离子体通常在密封容器(例如真空室)中产生,并使用各种类型的量测装备进行监测。
用于将设置在掩模版上的图案投影到衬底上的辐射束将向掩模版传递大量的热量。由加热引起的掩模版的局部膨胀可能降低所投影的图案与已经存在于衬底上的图案的重叠准确性。
发明内容
本公开描述了用于在光刻设备的操作期间从掩模版去除热量以增加所投影的图案与已经存在于衬底上的图案的重叠准确性的系统、设备和方法的各方面。
在一些方面中,本公开描述了一种光刻设备。该光刻设备可以包括:光学系统,所述光学系统被配置为将辐射束引导到由掩模版台支撑的掩模版上,以形成经图案化的辐射束。所述辐射束可以引起对所述掩模版的曝光区域的加热。光刻设备还可以掩模版冷却设备,所述掩模版冷却设备被配置为从所述掩模版去除热量。所述掩模版冷却设备可以包括:冷却元件,所述冷却元件设置在所述掩模版下方并与所述曝光区域相邻。所述冷却元件可以包括主体,所述主体包括连接到通道的室,所述通道被配置为向所述室输送气体。所述室的腔顶可以被设置为与所述掩模版相邻并可以包括开口,所述开口被配置为朝向所述掩模版输出所述气体。掩模版冷却设备还可以包括致动器,所述致动器被配置为修改所述腔顶和所述掩模版之间的距离。掩模版冷却设备还可以包括冷却控制器,所述冷却控制器被配置为:基于所述经图案化的辐射束的投影的定时数据、所述掩模版上的所述曝光区域的吸收数据以及目标传热率生成冷却控制信号。所述冷却控制信号可以被配置为指示所述掩模版冷却设备使所述致动器致动,以修改所述腔顶和所述掩模版之间的距离。所述冷却控制器还可以被配置为将所述冷却控制信号传输到所述致动器。
在一些方面中,本公开描述了一种掩模版冷却设备。所述掩模版冷却设备可以包括:冷却元件,所述冷却元件被配置为设置在由掩模版台支撑的掩模版下方,并与所述掩模版的曝光区域相邻。所述冷却元件可以包括主体,所述主体包括连接到通道的室,所述通道被配置为向所述室输送气体。所述室的腔顶可以被配置为设置为与所述掩模版相邻并可以包括开口,所述开口被配置为朝向所述掩模版输出所述气体。所述掩模版冷却设备还可以包括致动器,所述致动器被配置为修改所述腔顶和所述掩模版之间的距离。所述掩模版冷却设备还可以包括冷却控制器,所述冷却控制器被配置为:基于由所述掩模版产生的经图案化的辐射束的投影的定时数据、所述掩模版上的所述曝光区域的吸收数据以及目标传热率生成冷却控制信号。所述冷却控制信号可以被配置为指示所述掩模版冷却设备使所述致动器致动,以修改所述腔顶和所述掩模版之间的距离。所述冷却控制器还可以被配置为将所述冷却控制信号传输到所述致动器。
在一些方面中,本公开描述了一种用于从掩模版去除热量的方法。该方法可以包括由冷却控制器基于通过照射由掩模版台支撑的掩模版上的曝光区域而形成的经图案化的辐射束的投影的定时数据、所述曝光区域的吸收数据以及目标传热率生成冷却控制信号。所述冷却控制信号可以指示掩模版冷却设备使致动器致动,以修改所述掩模版和室的腔顶之间的距离,所述室连接到用于向所述室输送气体的通道。所述通道可以设置在所述掩模版冷却设备的冷却元件的主体中。所述方法还可以包括,由所述冷却控制器将所述冷却控制信号传输到所述致动器。所述方法还可以包括,由所述致动器基于所述冷却控制信号修改所述掩模版和所述腔顶之间的距离,以朝向所述目标传热率修改与从所述掩模版去除热量相关联的传热率。
下面参考附图详细描述各方面的其它特征以及结构和操作。应注意,本公开不限于本文描述的特定方面。在本文中呈现这些方面仅是出于说明性目的。基于本文中包含的教导,(多个)相关领域技术人员将明白另外的方面。
附图说明
并入本文中并且形成说明书的一部分的随附附图与描述一起图示出本公开,随附附图还用于解释本公开的各方面的原理并且使(多个)相关领域的技术人员能够实施和使用本公开的各方面。
图1A是根据本公开的一些方面的示例性反射型光刻设备的示意图。
图1B是根据本公开的一些方面的示例性透射型光刻设备的示意图。
图2是根据本公开的一些方面的图1A中所示的反射型光刻设备的更详细示意图。
图3是根据本公开的一些方面的示例性光刻单元的示意图。
图4是根据本公开的一些方面的用于示例性反射型光刻设备的示例性辐射源的示意图。
图5A和图5B是根据本公开的一些方面的示例性掩模版冷却设备的示意图。
图6是根据本公开的一些方面的包括示例性掩模版冷却设备的示例性冷却系统的示意图。
图7A和图7B是根据本公开的一些方面的示例性掩模版冷却设备的示例性操作的示意图。
图8是根据本公开的一些方面的另一掩模版冷却设备的示意图。
图9是根据本公开的一些方面的另一掩模版冷却设备的示意图。
图10是根据本公开的一些方面的另一掩模版冷却设备的示意图。
图11是根据本公开的一些方面或其(多个)部分的用于从掩模版去除热量的示例性方法。
图12是用于实现本公开的一些方面或其(多个)部分的示例性计算机系统。
根据以下结合附图阐述的详细描述,将明白本公开的特征和优点,其中相似的附图标记始终标识相应的元件。在附图中,除非另有说明,否则相似的附图标记通常表示相同的、功能类似的和/或结构类似的元件。此外,附图标记的(多个)最左侧数字通常标识首次出现所述附图标记的附图。除非另有说明,否则在整个公开中提供的附图不应被解释为按比例绘制的附图。
具体实施方式
本说明书公开了包括本公开的特征的一个或多个实施例。所公开的(多个)实施例仅描述本公开。本公开的范围不限于所公开的(多个)实施例。本公开的广度和范围由本公开所附的权利要求及其等同物来限定。
所描述的(多个)实施例以及对“一个实施例”、“实施例”、“示例性实施例”等的参考表示所描述的(多个)实施例可以包括特定特征、结构或特性,但是每个实施例不必包括所述特定特征、结构或特性。而且,这些措辞或短语不一定指的是相同的实施例。另外,当与实施例结合来描述特定特征、结构或特性时,应当理解,结合其它实施例来实现这样的特征、结构或特性在本领域技术人员的知识范围内,无论所述其它实施例是否被明确地描述。
为便于描述,可以在本文中使用诸如“在…之下”、“下方”、“下面”、“在…之上”、“上方”、“上面”之类的空间相对术语来描述附图中的一个元件或特征与其它(多个)元件或(多个)特征的关系。空间相对术语旨在涵盖装置或器件在使用或操作中的除了附图中描绘的方向之外的不同方向。可以以其它方式来定向设备(旋转90度或以其它方向定向),并且本文中使用的空间相对描述符也可以被相应地解释。
如本文中使用的术语“大约或约”表示给定量的值,所述值可以基于具体地技术而改变。基于具体地技术,术语“大约或约”可以表示在例如所述值的10%-30%(例如,所述值的±10%、±20%、或±30%)内变化的给定量的值。
概述
在一个示例中,EUV扫描器中的掩模版可以通过吸收EUV辐射而被加热,并且通过水冷却式掩模版夹具经由背面进行冷却。例如,对于功率大于约500W的源,吸收可以是约100瓦(W)。然而,由水冷却式掩模版台提供的间接冷却可能是低效的,并且需要与快速移动的掩模版夹具进行水连接,这可能损害机电性能。此外,厚掩模版的热阻可能导致相对较慢的冷却响应和第一晶片效应。此外,材料不均匀性会限制前馈软件校正的有效性。此外,掩模版的大的热梯度可能限制掩模版夹具的背面冷却的有效性。
相反,本公开的一些方面可以提供掩模版冷却罩,所述掩模版冷却罩具有冷却板,其中被驱动冷却气流靠近在扫描方向(例如,Y方向)上与EUV辐射束相邻的掩模版表面。在一些方面中,扫描运动可以导致动力学加热(例如,当处于EUV辐射束中时)和冷却(例如,当在冷却板上远离束时),这可以通过基于EUV吸收(例如,所述EUV吸收可以从最终用户操作数据导出或原位测量)调谐冷却参数而被平衡至约0W。在一些方面中,本文所描述的掩模版冷却罩可以位于扫描式EUV光刻设备中的掩模版与掩模版遮蔽(ReMa)Y形刀片之间。在一些方面中,本文所描述的掩模版冷却罩可以使用氢气(H2)在距掩模版表面约50微米和约100微米之间的间隔距离处进行操作。在一些方面中,本文所描述的掩模版冷却设备也可以称为“掩模版冷却罩”。
在一些方面中,本公开提供了通过作为初始操作--基于通过照射由掩模版台支撑的掩模版上的曝光区域而形成的经图案化的辐射束的投影的定时数据、曝光区域的吸收数据、以及目标传热率生成冷却控制信号,以从掩模版去除热量。在一些方面中,本公开还提供了将冷却控制信号传输到掩模版冷却罩,以指示掩模版冷却罩致动一组致动器(例如,一个或多个致动器)来修改掩模版和掩模版冷却罩之间的距离。在一些方面中,本公开提供了通过该组致动器并基于冷却控制信号修改掩模版和掩模版冷却罩之间的距离,从而朝向目标传热率修改与从掩模版去除热量相关联的传热率。
在一些方面中,本文所描述的掩模版冷却罩可以并入以下中描述的部件、结构、特征和技术的任何组合或子组合:2020年8月18日发布的、题目为“Lithographic apparatus”的美国专利10,747,127;2019年9月17日发布的、题目为“Lithographic apparatus”的美国专利10,416,574;2002年9月3日发布的、题目为“EUV reticle thermal management”的美国专利6,445,439;这些专利的每个通过引用整体并入本文。在一些方面中,相比于这些参考文献中的一个或多个中描述的晶片冷却技术,本文所描述的掩模版冷却罩可以具有降低的复杂性,并因此具有减少的成本和改进的可靠性,因为掩模版台的运动可以比晶片台的运动具有更少的复杂性(例如,掩模版台可以仅在Y方向上扫描,而晶片台可以在X方向和Y方向上步进),并且低热膨胀材料(LTEM)掩模版衬底的热要求可以比晶片衬底的要求具有更低的严格性。此外,掩模版可以不涂覆有脱气抗蚀剂,因此该位置处的导热耦合可以更稳定,并且可以在热适应系数(TAC)变化的影响减小的情况下使用H2。另外地或替代地,掩模版级的可用体积大于晶片级的可用体积,因为许多尺寸大约大四倍,并且冲突的功能较少(例如,可能很少需要或不需要Z型反射镜和动力学气锁(DGL))。
在一些方面中,本文所描述的掩模版冷却技术也可以通过移动掩模版材料的零交叉来实现。然而,这可能需要预热掩模版以实现重叠改进,同时更新掩模版写入和鉴定基础设施以校正掩模版写入和鉴定期间的误差。
本文中公开的系统、设备、方法和计算机程序产品有许多示例性方面。例如,本文所描述的掩模版冷却罩不需要主动位置控制(例如,由此降低机械结构和体积考虑的复杂性,但是在实践中这种主动位置控制被认为是可能的)。在一些方面中,实质消除主动位置控制可以被认为是用于较高源功率(例如,大于约800W)的重叠增益,或被认为是通过从快速扫描台的动态界面移除水冷却线而显著降低掩模版台的复杂性的开口。在一些方面中,主动位置控制的实质消除还可以扩增可实现的掩模版台的(例如,在正Y方向和负Y方向上的)最大扫描速度和加速度。
然而,在更详细地描述这些方面之前,呈现可以实施本公开的方面的示例性环境是有指导性的。
示例性光刻系统
图1A和图1B分别是可以实现本公开的多个方面的光刻设备100和光刻设备100’的示意图。如图1A和图1B所示,光刻设备100和100’是从垂直于XZ平面的观察方向(例如,侧视方向)图示(例如,X轴指向右方、Z轴指向上方、Y轴指向页面中以远离观看者),而图案形成装置MA和衬底W是从垂直于XY平面的另外观察方向(例如,俯视方向)呈现(例如,X轴指向右、Y轴指向上、Z轴指向页面外朝向观看者)。
在一些方面中,光刻设备100和/或光刻设备100’可以包括以下结构中的一个或多个:照射系统IL(例如照射器),所述照射系统被配置为调节辐射束B(例如深紫外(DUV)辐射束或极紫外(EUV)辐射束);支撑结构MT(例如掩模台、掩模版台),所述支撑结构被配置为支撑图案形成装置MA(例如掩模、掩模版、或动态图案形成装置),并且连接至被配置为准确地定位图案形成装置MA的第一定位器PM;以及衬底保持件(诸如衬底台)WT(例如晶片台),所述衬底保持件被配置为保持衬底W(例如涂覆有抗蚀剂的晶片),并且连接至被配置为准确地定位衬底W的第二定位器PW。光刻设备100和光刻设备100’还具有投影系统PS(例如折射型投影透镜系统),所述投影系统被配置为将由图案形成装置MA赋予至辐射束B的图案投影至衬底W的目标部分C(例如包括一个或多个管芯的部分)上。在光刻设备100中,图案形成装置MA和投影系统PS是反射型。在光刻设备100’中,图案形成装置MA和投影系统PS是透射型。
在一些方面中,在操作时,照射系统IL可以(例如经由图1B中所示的束传递系统BD)接收来自辐射源SO的辐射束。照射系统IL可以包括用于引导、成形或控制辐射的各种类型的光学结构,诸如折射型、反射型、反射折射型、磁性型、电磁型、静电型或其它类型的光学部件,或其任何组合。在一些方面中,照射系统IL可以被配置为调节辐射束B,以使其在图案形成装置MA的平面处在其横截面中具有期望的空间和角度强度分布。
在一些方面中,支撑结构MT以依赖于图案形成装置MA相对于参考框架的方向、光刻设备100和100’中的至少一个的设计、和其它条件(诸如图案形成装置MA是否被保持在真空环境中)的方式来保持图案形成装置MA。支撑结构MT可以使用机械、真空、静电或其它夹持技术以保持图案形成装置MA。支撑结构MT可以是例如框架或台,支撑结构MT可以根据需要而是固定或可移动的。通过使用传感器,支撑结构MT可以确保图案形成装置MA例如相对于投影系统PS而处于期望位置。
术语“图案形成装置”MA应广义地解释为指可以用以在辐射束B的横截面中向辐射束B赋予图案,以便在衬底W的目标部分C中产生图案的任何装置。赋予至辐射束B的图案可以对应于目标部分C中所产生的用以形成集成电路的器件中的特定功能层。
在一些方面中,图案形成装置MA可以是透射型(如图1B的光刻设备100’中那样)或反射型(如图1A的光刻设备100中那样)。图案形成装置MA可以包括各种结构,诸如掩模版、掩模、可编程反射镜阵列、可编程LCD面板、其他合适的结构、或其组合。掩模可以包括诸如二元、交替相移或衰减式相移之类的掩模类型,以及各种混合掩模类型。在一个示例中,可编程反射镜阵列可以包括小反射镜的矩阵布置,所述小反射镜中的每个小反射镜可以被单独地倾斜,以便使入射辐射束在不同方向上反射。被倾斜的反射镜可以在由小反射镜的矩阵所反射的辐射束B中赋予图案。
术语“投影系统”PS应被广义地解释,并且可以涵盖适于所使用的曝光辐射或适于其它因素(诸如浸没液体(例如在衬底W上)的使用或真空的使用)的任何类型的投影系统,包括折射型、反射型、反射折射型、磁性型、变形型、电磁型和静电型光学系统,或其任何组合。由于其它气体可能吸收过多的辐射或电子,因此,可以针对EUV或电子束辐射使用真空环境。因此,通过借助于真空壁和真空泵,可以对整个束路径设置真空环境。另外,在一些方面中,本文中对术语“投影透镜”的任何使用可以被解释为与更上位的术语“投影系统”PS同义。
在一些方面中,光刻设备100和/或光刻设备100’可以是具有两个(例如“双平台”)或更多个衬底台(例如两个或更多个衬底台WT)和/或两个或更多个掩模台(例如两个或更多个支撑结构MT)的类型。在这种“多平台”机器中,可以并行地使用额外的衬底台WT,或可以在对一个或多个台执行预备步骤的同时将一个或多个其它衬底台WT用于曝光。在一个示例中,可以在位于衬底台WT中的一个上的衬底W上执行对衬底W的后续曝光准备的步骤的同时,另一衬底台WT上的另一衬底W被用于在另一衬底W上曝光图案。在一些方面中,所述额外的台可以不是衬底台WT。
在一些方面中,除了衬底台WT之外,光刻设备100和/或光刻设备100’可以包括测量台。测量台可以被布置为保持传感器。传感器可以被布置为测量投影系统PS的性质、辐射束B的性质或两者。在一些方面中,测量台可以保持多个传感器。在一些方面中,当衬底台WT远离投影系统PS时,测量台可以在投影系统PS下方移动。
在一些方面中,光刻设备100和/或光刻设备100’也可以是这样一种类型,其中衬底的至少一部分可以由具有相对高折射率的液体(例如,水)覆盖,以便填充投影系统PS与衬底W之间的空间。也可以将浸没液体施加至光刻设备中的其它空间,例如图案形成装置MA与投影系统PS之间的空间。浸没技术被提供用于增大投影系统的数值孔径。本文中所使用的术语“浸没”不意味着诸如衬底之类的结构必须浸没在液体中,而是仅意味着在曝光期间液体位于投影系统与衬底之间。各种浸没技术被描述在2005年10月4日发布的、题目为“LITHOGRAPHIC APPARATUS ANDDEVICE MANUFACTURING METHOD”的美国专利6,952,253,该专利通过引用整体并入本文。
参考图1A和图1B,照射系统IL从辐射源SO接收辐射束B。例如,当辐射源SO是准分子激光器时,辐射源SO与光刻设备100和100’可以是分立的物理实体。在这样的情况下,不认为辐射源SO形成光刻设备100和100’的一部分,并且辐射束B是借助于包括例如合适的定向反射镜和/或扩束器的(例如图1B中所示的)束传递系统BD而从辐射源SO传递至照射系统IL。在其它情况下,例如,当辐射源SO是汞灯时,辐射源SO可以是光刻设备100和100’的组成部分。辐射源SO和照射器IL连同束传递系统BD(在需要时)可以被称为辐射系统。
在一些方面中,照射系统IL可以包括用于调整辐射束的角强度分布的调整器AD。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别被称为“σ-外部”和“σ-内部”)。另外,照射系统IL可以包括各个其它部件,诸如积分器IN和辐射收集器CO(例如聚光器或收集器光学器件)。在一些方面中,照射系统IL可以被用于调节辐射束B,以在其横截面中具有期望的均一性和强度分布。
参考图1A,在操作中,辐射束B可以入射到可以被保持在支撑结构MT(例如掩模版台)上的图案形成装置MA(例如掩模、掩模版、可编程反射镜阵列、可编程LCD面板、任何其他合适的结构、或其组合)上,并且通过图案形成装置MA上呈现的图案(例如设计布局)而被图案化。在光刻设备100中,辐射束B可以从图案形成装置MA反射。在穿过图案形成装置MA之后(例如在从图案形成装置MA被反射之后),辐射束B穿过投影系统PS,所述投影系统PS可以将辐射束B聚焦至衬底W的目标部分C上或布置在平台处的传感器上。
在一些方面中,借助于第二定位器PW和位置传感器IFD2(例如,干涉量测装置、线性编码器、或电容性传感器),可以准确地移动衬底台WT,例如,以便使不同的目标部分C定位在辐射束B的路径中。类似地,可以使用第一定位器PM和另一位置传感器IFD1(例如,干涉量测装置、线性编码器、或电容性传感器)以相对于辐射束B的路径准确地定位图案形成装置MA。
在一些方面中,可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA与衬底W。虽然图1A和图1B示出衬底对准标记P1和P2占据专用目标部分,但衬底对准标记P1和P2可以位于目标部分之间的空间中。衬底对准标记P1和P2在位于目标部分之间时被称为划线对准标记。衬底对准标记P1和P2也可以如管芯内标记那样被布置在目标部分C区域中。这些管芯内标记也可以用作量测标记,以例如用于重叠测量。
在一些方面中,出于说明而非限制的目的,本文中的一个或多个图可以利用笛卡尔坐标系。笛卡尔坐标系包括三个轴:X轴、Y轴和Z轴。三个轴中的每个与另外的两个轴正交(例如,X轴正交于Y轴和Z轴、Y轴正交于X轴和Z轴、Z轴正交于X轴和Y轴)。围绕X轴的旋转被称为Rx旋转。围绕Y轴的旋转被称为Ry旋转。围绕Z轴的旋转被称为Rz旋转。在一些方面中,X轴和Y轴限定水平面,而Z轴在竖直方向上。在一些方面中,笛卡尔坐标系的取向可以是不同的,例如使得Z轴具有沿着水平面的分量。在一些方面中,可以使用另一坐标系,诸如圆柱坐标系。
参考图1B,辐射束B入射在保持在支撑结构MT上的图案形成装置MA上,并且由图案形成装置MA图案化。在穿过图案形成装置MA之后,辐射束B穿过投影系统PS,投影系统PS将束聚焦到衬底W的目标部分C上。在一些方面中,投影系统PS可以具有与光瞳共轭的照射系统光瞳。在一些方面中,辐射的一部分可以从照射系统光瞳处的强度分布发出,并且穿过掩模图案而不受掩模图案MP处的衍射的影响,并且在照射系统光瞳处产生强度分布的图像。
投影系统PS将掩模图案MP的图像MP’投影到涂覆在衬底W上的光致抗蚀剂层上,其中图像MP’是由衍射束形成的,所述衍射束产生于由来自强度分布的辐射所导致的掩模图案MP。例如,掩模图案MP可以包括线和间隔的阵列。阵列处的不同于零阶衍射的辐射衍射产生被转向的衍射束,所述被转向的衍射束具有沿垂直于线的方向的方向改变。反射光(即,所谓的零阶衍射束)在传播方向没有任何改变的情况下穿过图案。零阶衍射束穿过投影系统PS的位于投影系统PS的光瞳共轭件的上游的上透镜或上透镜组以到达光瞳共轭件。强度分布中的在光瞳共轭件的平面中与零阶衍射束相关联的部分是照射系统IL的照射系统光瞳中的强度分布的图像。在一些方面中,孔或孔阑装置可以设置在或大致设置在投影系统PS的包括光瞳共轭件的平面处。
投影系统PS被布置成借助于透镜或透镜组,不仅捕获零阶衍射束,而且还捕获一阶衍射束或者一阶和更高阶衍射束(未示出)。在一些方面中,用于对在垂直于线的方向上延伸的线图案进行成像的偶极照射可以被用于利用偶极照射的分辨率增强效应。例如,在衬底W的水平处,一阶衍射束与相应的零阶衍射束发生干涉,以产生具有最高可能的分辨率和过程窗口(即,可用焦深与可容许曝光剂量偏差)的掩模图案MP的图像。在一些方面中,可以通过在照射系统光瞳的相对象限中提供辐射极(未示出)来减少像散像差。另外,在一些方面中,可以通过阻挡投影系统PS的光瞳共轭件中的与相对象限中的辐射极相关联的零阶束来减少像散像差。这在2009年3月31日发布的、题目为“LITHOGRAPHICPROJECTIONAPPARATUS AND A DEVICE MANUFACTURINGMETHOD”的美国专利7,511,799中进行了更详细地描述,该专利通过引用整体并入本文。
在一些方面中,借助于第二定位器PW和位置测量系统PMS(例如包括位置传感器,诸如干涉量测装置、线性编码器、或电容性传感器),可以准确地移动衬底台WT,例如以便将不同的目标部分C定位在辐射束B的路径中的聚焦和对准位置处。类似地,可以使用第一定位器PM和另一位置传感器(例如干涉量测装置、线性编码器、或电容性传感器)(图1B中未示出)来相对于辐射束B的路径准确地定位图案形成装置MA(例如,在从掩模库进行机械获取之后或在扫描期间)。图案形成装置MA和衬底W可以使用掩模对准标记M1、M2和衬底对准标记P1、P2进行对准。
通常,可以借助于形成第一定位器PM的部分的长行程定位器(粗定位)和短行程定位器(精定位)来实现支撑结构MT的移动。类似地,可以使用形成第二定位器PW的部分的长行程定位器和短行程定位器来实现衬底台WT的移动。在步进器(相对于扫描器)的情况下,支撑结构MT可以仅连接至短行程致动器,或可以是固定的。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA与衬底W。虽然衬底对准标记(如图示的)占据专用目标部分,但这些标记可以位于目标部分之间的空间中(例如划线对准标记)。类似地,在多于一个管芯被设置在图案形成装置MA上的情形中,掩模对准标记M1和M2可以位于管芯之间。
支撑结构MT和图案形成装置MA可以处于真空室V中,可以使用真空内机器人将图案形成装置(诸如掩模)移动到真空室之中和之外。替代地,当支撑结构MT和图案形成装置MA在真空室之外时,可以使用真空外机器人进行各种运输操作,这类似于真空内机器人。在一些示例中,为了将任何有效负载(例如掩模)平稳转移到转移站的固定运动支架,真空内机器人和真空外机器人都需要被校准。
在一些方面中,光刻设备100和100’可以用于以下模式中的至少一种模式中:
1.在步进模式中,在将赋予至辐射束B的整个图案一次性投影至目标部分C上时,使支撑结构MT和衬底台WT保持基本上静止(即,单次静态曝光)。接着使衬底台WT在X方向和/或Y方向上移位,使得可以曝光不同的目标部分C。
2.在扫描模式中,在将赋予至辐射束B的图案投影至目标部分C上时,同步地扫描支撑结构MT和衬底台WT(即,单次动态曝光)。可以通过投影系统PS的放大率(缩小率)和图像反转特性确定衬底台WT(例如晶片台)相对于支撑结构MT(例如掩模版台)的速度和方向。
3.在另一模式中,在将赋予至辐射束B的图案投影至目标部分C上时,使支撑结构MT保持基本上静止,从而保持可编程图案形成装置MA,并且移动或扫描衬底台WT。可以使用脉冲式辐射源SO,并且在衬底台WT的每次移动之后或在扫描期间的连续辐射脉冲之间根据需要更新可编程图案形成装置。这种操作模式可以易于应用于利用可编程图案形成装置MA(诸如可编程反射镜阵列)的无掩模光刻术。
在一些方面中,光刻设备100和100’可以采用上述使用模式的组合和/或变体或完全不同的使用模式。
在一些方面中,如图1A所示,光刻设备100可以包括EUV源,所述EUV源被配置为产生用于EUV光刻的EUV辐射束B。通常,EUV源可以被配置在辐射源SO中,并且相应的照射系统IL可以被配置为调节EUV源的EUV辐射束B。
图2更详细地示出了光刻设备100(或其改变的结构),包括辐射源SO(例如源收集器设备)、照射系统IL和投影系统PS。如图2中所示,光刻设备100是从垂直于XZ平面的观察方向(例如,侧面观察方向)图示(例如,X轴指向右方、Z轴指向上方)。
辐射源SO被构造和布置成使得可以在封闭结构220中维持真空环境。辐射源SO包括源室211和收集器室212,并且被配置为产生和透射EUV辐射。EUV辐射可以由气体或蒸气(例如氙(Xe)气、锂(Li)蒸气、或锡(Sn)蒸气)产生,其中产生EUV辐射发射等离子体210以发射在电磁光谱的EUV范围内的辐射。可以例如通过放电或激光束来产生至少部分电离的EUV辐射发射等离子体210。可以使用例如约10.0帕斯卡(Pa)的分压的Xe气、Li蒸气、Sn蒸气或任何其它合适气体或蒸气来有效地产生辐射。在一些方面中,提供被激发的锡的等离子体来产生EUV辐射。
由EUV辐射发射等离子体210发射的辐射从源室211经由可选的气体屏障或污染物陷阱230(在一些情况下也称为污染物屏障或翼片阱)而进入收集器室212,所述气体屏障或污染物陷阱230被定位在源室211的开口中或后面。污染物陷阱230可以包括通道结构。污染物陷阱230还可以包括气体屏障或者气体屏障与通道结构的组合。在本文中进一步说明的污染物陷阱230至少包括通道结构。
收集器室212可以包括辐射收集器CO(例如聚光器或收集器光学器件),辐射收集器CO可以是所谓的掠入射收集器。辐射收集器CO具有上游辐射收集器侧251和下游辐射收集器侧252。穿过辐射收集器CO的辐射可以被光栅光谱滤光片240反射出以聚焦在虚拟源点IF处。虚拟源点IF通常被称为中间焦点,并且源收集器设备被布置成使得虚拟源点IF被定位在封闭结构220的开口219处或附近。虚拟源点IF是EUV辐射发射等离子体210的像。光栅光谱滤光片240可以用于抑制红外(IR)辐射。
随后,辐射穿过照射系统IL,照射系统IL可以包括琢面场反射镜装置222和琢面光瞳反射镜装置224,它们被布置成在图案形成装置MA处提供辐射束221的期望角度分布以及在图案形成装置MA处提供辐射强度期望的均匀性。当辐射束221在图案形成装置MA(由支撑结构MT保持)处反射时,形成图案化束226,并且通过投影系统PS经由反射元件228、229将图案化束226成像在由晶片台或衬底台WT保持的衬底W上。
通常可以在照射系统IL和投影系统PS中存在比所示出的更多的元件。光栅光谱滤光片240可以依赖于光刻设备的类型而可选地存在。此外,可以存在比图2中所示的反射镜更多的反射镜。例如相比于图2中所示的,可以在投影系统PS中存在一个至六个额外的反射元件。
如图2中图示的辐射收集器CO被描绘为具有掠入射反射器253、254和255的巢状收集器,这仅仅是收集器(或收集器反射镜)的示例。掠入射反射器253、254和255被设置为围绕光轴O轴向对称,并且这种类型的辐射收集器CO优选地与放电产生等离子体(DPP)源结合使用。
示例性光刻单元
图3示出了光刻单元300,其有时也被称为光刻元或簇。如图3所示,光刻单元300是从垂直于XY平面的观察方向(例如,俯视观察方向)图示(例如,X轴指向右方、Y轴指向上方)。
光刻设备100或100’可以形成光刻单元300的一部分。光刻单元300还可以包括在衬底上执行曝光前过程和曝光后过程的一个或多个设备。例如,这些设备可以包括用以沉积抗蚀剂层的旋涂器SC、用以显影曝光后的抗蚀剂的显影器DE、激冷板CH、以及焙烤板BK。衬底输送装置RO(例如机器人)从输入/输出端口I/O1、I/O2拾取衬底,在不同过程设备之间移动衬底且将衬底传递至光刻设备100或100’的进料台LB。常常共同地称为轨道或涂覆显影系统的这些装置处于轨道或涂覆显影系统控制单元TCU的控制下,所述轨道或涂覆显影系统控制单元TCU自身受到管理控制系统SCS控制,所述管理控制系统SCS也经由光刻控制单元LACU来控制光刻设备。因此,不同设备可以被操作以使生产量和处理效率最大化。
示例性辐射源
图4中示出了用于示例性反射光刻设备(例如,图1A的光刻设备100)的辐射源SO的示例。如图4中所示,辐射源SO是从垂直于XY平面的观察方向(例如俯视观察方向)图示的,如下所述。
图4中所示的辐射源SO是可以被称为激光产生等离子体(LPP)源的类型。激光系统401(例如可以包括二氧化碳(CO2)激光器)被布置为通过一个或多个激光束402将能量沉积到从燃料目标发生器403(例如,燃料发射器、液滴发生器)提供的燃料目标403’(诸如一个或多个离散锡(Sn)液滴)。根据一些方面,激光系统401可以是脉冲式激光器、连续波激光器或准连续波激光器,或可以以脉冲方式、连续波方式或准连续波方式操作。从燃料目标发生器403发射的燃料目标403’(例如,液滴)的轨迹可以平行于X轴。根据一些方面,一个或多个激光束402在平行于Y轴的方向上传播,Y轴垂直于X轴。Z轴垂直于X轴和Y轴,并且通常延伸到页面的平面内(或延伸出页面的平面外),但在其他方面,使用其他配置。在一些实施例中,激光束402可以在除了平行于Y轴的方向的方向上传播(例如,在除了燃料目标403’的轨迹的垂直于X轴方向的方向上传播)。
在一些方面,一个或多个激光束402可以包括预脉冲激光束和主脉冲激光束。在这些方面中,激光系统401可以被配置为用预脉冲激光束撞击每个燃料目标403’,以产生经改变的燃料目标。激光系统401还可以被配置为用主脉冲激光束撞击每个经改变的燃料目标以产生等离子体407。
尽管在以下描述中提及的是锡,但是可以使用任何合适的目标材料。目标材料可以例如是液体形式,并且可以例如是金属或合金。燃料目标发生器403可以包括喷嘴,所述喷嘴被配置为将锡以例如燃料目标403’(例如,离散液滴)的形式沿轨迹引导朝向等离子体形成区域404。在本说明书的其余部分中,对“燃料”、“燃料目标”或“燃料液滴”的提及应理解为是指由燃料目标发生器403发射的目标材料(例如,液滴)。燃料目标发生器403可以包括燃料发射器。一个或多个激光束402在等离子体形成区域404处入射到目标材料(例如锡)上。激光能量沉积到目标材料中会在等离子体形成区域404处产生等离子体407。在等离子体的离子和电子的去激发和复合期间,从等离子体407发射辐射,所述辐射包括EUV辐射。
EUV辐射由辐射收集器405(例如,辐射收集器CO)收集和聚焦。在一些方面中,辐射收集器405可以包括近正入射辐射收集器(有时更一般地称为正入射辐射收集器)。辐射收集器405可以是多层结构,其被布置为反射EUV辐射(例如,具有诸如约13.5nm的期望波长的EUV辐射)。根据一些方面,辐射收集器405可以具有带有两个焦点的椭圆形配置。第一焦点可以在等离子体形成区域404处,第二焦点可以在中间焦点406处,如本文所述。
在一些方面,激光系统401可以位于距辐射源SO相对较长的距离处。在这种情况下,一个或多个激光束402可以借助于束传递系统(未示出)从激光系统401传递到辐射源SO,例如所述束传递系统包括合适的定向镜和/或扩束器和/或其他光学器件。激光系统401和辐射源SO可以一起被认为是辐射系统。
由辐射收集器405反射的辐射形成辐射束B。辐射束B聚焦在一点(例如,中间焦点406)处以形成等离子体形成区域404的图像,其用作照射系统IL的虚拟辐射源。辐射束B所聚焦的点可以被称为中间焦点(IF)(例如,中间焦点406)。辐射源SO被布置为使得中间焦点406位于辐射源SO的封闭结构409中的开口408处或附近。
辐射束B从辐射源SO进入照射系统IL,所述照射系统IL被配置为调节辐射束B。辐射束B穿过照射系统IL并入射在由支撑结构MT保持的图案形成装置MA上。图案形成装置MA反射辐射束B并进行图案化。在从图案形成装置MA反射之后,经图案化的辐射束B进入投影系统PS。投影系统包括多个反射镜,所述多个反射镜被配置为将辐射束B投影到由衬底台WT保持的衬底W上。投影系统PS可以对辐射束应用缩小因子,从而形成特征小于图案形成装置MA上的相应特征的图像。例如,可以应用缩小因子4。尽管投影系统PS在图2中示出为具有两个反射镜,但是投影系统可以包括任何数量的反射镜(例如,六个反射镜)。
辐射源SO还可以包括未示出在图4中的部件。例如,可以在辐射源SO中设置光谱滤波器。光谱滤波器可以对EUV辐射基本上是透射的,但对其他波长的辐射(诸如红外辐射)基本上是阻挡的。
辐射源SO(或辐射系统)还可以包括燃料目标成像系统,以获得在等离子体形成区域404中的燃料目标(例如液滴)的图像,或更具体地,获得燃料目标的阴影的图像。燃料目标成像系统可以检测从燃料目标的边缘衍射的光。下文中对燃料目标的图像的提及也应理解为是指燃料目标的阴影或由燃料目标引起的衍射图案的图像。
燃料目标成像系统可以包括诸如CCD阵列或CMOS传感器的光电检测器,但是应当理解,可以使用适于获得燃料目标的图像的任何成像装置。应当理解,燃料目标成像系统除了光电检测器之外还可以包括光学部件,诸如一个或多个透镜。例如,燃料目标成像系统可以包括相机410,例如光电传感器或光电检测器与一个或多个透镜的组合。光学部件可以被选择为使得光电传感器或相机410获得近场图像和/或远场图像。相机410可以定位在辐射源SO内的任何合适位置处,从该位置相机可以看到等离子体形成区域404和设置在辐射收集器405上的一个或多个标记(图4中未示出)。然而,在一些方面中,可能期望将相机410定位成远离一个或多个激光束402的传播路径并且远离从燃料目标发生器403发射的燃料目标的轨迹,以避免损坏相机410。根据一些方面,相机410被配置为经由连接412向控制器411提供燃料目标的图像。连接412被示出为有线连接,但是应当理解的是,连接412(以及本文中提及的其他连接)可以被实现为有线连接或无线连接或其组合。
如图4所示,辐射源SO可以包括燃料目标发生器403,所述燃料目标发生器被配置为产生燃料目标403’(例如,离散的锡液滴)并朝向等离子体形成区域404发射所述燃料目标。辐射源SO还可以包括激光系统401,所述激光系统401被配置为用一个或多个激光束402撞击一个或多个燃料目标403’,以在等离子体形成区域404处产生等离子体407。辐射源SO还可以包括辐射收集器405(例如,辐射收集器CO),所述辐射收集器被配置为收集由等离子体407发射的辐射。
示例性掩模版冷却设备
图5-10示出了示例性光刻设备100或100’中的用于从位于照射系统IL和投影系统PS之间的图案形成装置MA(例如,掩模、掩模版或动态图案形成装置)去除热量的示例性掩模版冷却设备。在一些方面中,参考图5-10描述的示例性掩模版冷却设备可以被包括在示例性光刻设备(诸如扫描光刻设备)中,或与所述示例性光刻设备相关联。
图5A和图5B是根据本公开的一些方面的示例性光刻设备500的部分的示意图。如图5A所示,示例性光刻设备500可以包括光学系统(未示出),所述光学系统被配置为将辐射束502(例如,EUV辐射束)引导到由掩模版台506(例如,包括多个流体冷却通道508和多个突节510)支撑的掩模版504上以形成经图案化的辐射束。辐射束502可以引起对掩模版504的曝光区域512的加热。
在一些方面中,示例性光刻设备500可以包括被配置为从掩模版504去除热量的掩模版冷却设备520。例如,掩模版冷却设备520可以被配置为从第一冷却区域514去除热量,所述第一冷却区域514被设置为(例如,在负Y方向上)与掩模版504的曝光区域512相邻。另外地或替代地,掩模版冷却设备520可以被配置为从第二冷却区域516去除热量,所述第二冷却区域被设置为(例如,在正Y方向上)与掩模版504的曝光区域512相邻。
在一些方面中,第一冷却区域514、第二冷却区域516或两者可以位于距平分曝光区域512的线的约3cm或更小距离内。在一些方面中,第一冷却区域514、第二冷却区域516或两者可以位于距曝光区域512的边缘的约2cm或更小距离内。在一些方面中,掩模版冷却设备520可以包括冷却元件,所述冷却元件被配置为设置在掩模版504下方并邻近曝光区域512。在一些方面中,冷却元件可以与掩模版504热连通。在一些方面中,在与示例性光刻设备500的扫描方向相对应的方向上,冷却元件可以与曝光区域512分离。在一些方面中,冷却元件的上表面(例如,第一腔顶536、第二腔顶546)与掩模版504的下表面之间的距离d可以小于约1mm。在一些方面,距离d可以在约50微米和约100微米之间。
在一些方面中,冷却元件可以包括具有室的主体,所述室连接到被配置为向所述室输送气体的通道。在一些方面中,室的腔顶可以被配置为与掩模版504相邻设置,并且可以包括一组开口(例如,一个或多个开口),所述开口被配置为朝向掩模版504输出气体。在一些方面中,该组开口包括一排孔或孔阵列。在一些方面中,冷却元件的主体还可以包括至少一个可移动遮挡件,所述可移动遮挡件被配置为选择性地关闭所述一排孔或孔阵列的至少子集。在其他方面中,冷却元件可以包括敞开式腔体,所述敞开式腔体设置在主体的相对于掩模版504的最上面。在一些方面中,主体可以是可弯曲的,以便控制由设置于(例如存在于)冷却元件和掩模版504之间的气体的一部分提供的冷却。在一些方面中,掩模版冷却设备520还可以包括位于冷却元件的一部分和掩模版504之间的热防护件。在一些方面中,热防护件可以被配置为减少由冷却元件的所述部分从掩模版504移除的热的量。
在一些方面中,掩模版冷却设备520可以包括一对冷却元件,该对冷却元件包括第一冷却元件530和第二冷却元件540。在一些方面中,该对冷却元件可以设置在曝光区域512的相对侧。例如,第一冷却元件530可以设置在掩模版504下方,并在负Y方向上与曝光区域512相邻,并且第二冷却元件540可以设置在掩模版504下方并在正Y方向上与曝光区域512相邻。
如图5B所示,在一些方面中,第一冷却元件530可以包括第一主体532,所述第一主体包括连接到第一通道(未示出)的第一室534,所述第一通道被配置为将第一气体(例如H2)输送到第一室534。在一些方面中,第一室534的第一腔顶536可以被设置为与掩模版504相邻(例如,在负Z方向上在掩模版504下方相邻距离d)。在一些方面中,第一腔顶536可以包括第一组开口538,所述第一组开口由第一腔顶536限定并被配置为朝向掩模版504(例如,如由第一气流539指示的朝向第一冷却区域514)输出第一气体。在一些方面中,第一组开口538的密度可以变化(例如,沿横向于扫描光刻设备的扫描方向的方向变化),使得第一组开口536的密度在第一腔顶536的外端处高于在第一腔顶536的中心部分处。在一些方面中,第一主体532还可以包括第一流体冷却通道533,所述第一流体冷却通道被配置为从第一主体532去除热量。在一些方面中,掩模版冷却设备520还可以包括位于第一冷却元件530的一部分和掩模版504之间的第一热屏蔽件550。在一些方面中,第一热屏蔽件550可以被配置为减少由第一冷却元件530的所述部分从掩模版504移除的热量的量。
在一些方面中,第二冷却元件540可以包括第二主体542,所述第二主体包括连接到第二通道(未示出)的第二室544,所述第二通道被配置为将第二气体(例如H2)输送到第二室544。在一些方面中,第二室544的第二腔顶546可以被设置为与掩模版504相邻(例如,在负Z方向上在掩模版504下方相邻距离d)。在一些方面中,第二腔顶546可以包括第二组开口548,所述第二组开口548被配置为朝向掩模版504输出第二气体(例如,如由第二气流549指示的朝向第二冷却区域516)。在一些方面中,第二组开口548的密度可以变化(例如,沿横向于扫描光刻设备的扫描方向的方向变化),使得第二组开孔548的密度在第二腔顶546的外端处高于在第二腔顶546的中心部分处。在一些方面,第二主体542还可以包括第二流体冷却通道543,所述第二流体冷却通道543被配置为从第二主体541去除热量。在一些方面中,掩模版冷却设备520还可以包括位于第二冷却元件540的一部分与掩模版504之间的第二热屏蔽件560。在一些方面中,第二热屏蔽件560可以被配置为减少由第二冷却元件540的所述部分从掩模版504移除的热量的量。
在一些方面中,示例性光刻设备500可以是被配置为形成弯曲的曝光区域的扫描光刻设备,并且该对冷却元件可以是弯曲的。例如,第一冷却元件530可以包括对应于弯曲的曝光区域的凹形前边缘。在另一示例中,第一冷却元件530可以包括对应于弯曲的曝光区域的映像的凸形前边缘(例如,关于横向于扫描光刻设备的扫描方向延伸的轴线凸起)。在又一示例中,第一冷却元件530可以包括腔顶构件,所述腔顶构件是弯曲的(例如,横向于扫描光刻设备的扫描方向弯曲),使得腔顶构件的外端比腔顶构件的中心部分更靠近掩模版504。
图6是根据本公开的一些方面的示例性光刻设备600的一部分的示意图。如图6所示,示例性光刻设备可以包括光学系统(未示出),所述光学系统被配置为将辐射束602(例如,EUV辐射束)引导到由掩模版台606支撑的掩模版604上以形成经图案化的辐射束。辐射束602可以引起对掩模版604的曝光区域612的加热。
在一些方面中,示例性光刻设备600可以包括掩模版冷却设备620,所述掩模版冷却设备被配置为从掩模版604(例如,被设置为与曝光区域612相邻的第一冷却区域614和第二冷却区域616)去除热量。在一些方面中,掩模版冷却设备620可以包括第一冷却元件630和第二冷却元件640,第一冷却元件630和第二冷却元件640设置在掩模版604下方(例如,在负Z方向上在掩模版604下方的小于约1mm的竖直距离d内)并邻近于曝光区域612(例如,在小于约3cm的距离内)。在一些方面中,第一冷却元件630可以包括第一主体632,所述第一主体包括连接到气体源680(例如,具有H2阀的H2源)的第一室634,所述气体源680被配置为将第一气体(例如,H2)输送到第一室634。在一些方面中,第一主体632还可以包括第一流体冷却通道633,所述第一流体冷却通道633连接到冷却柜682(例如,具有真空绝缘管、热交换器和低温冷却器的流体冷却柜)并且被配置为从第一主体632去除热量。在一些方面中,第二冷却元件640可以包括第二主体642,所述第二主体包括连接到气体源680的第二室644,所述气体源680被配置为将第二气体(例如H2)输送到第二室644。在一些方面中,第二主体642还可以包括第二流体冷却通道643,所述第二流体冷却通道643连接到冷却柜682并被配置为从第二主体646去除热量。
在一些方面中,示例性光刻设备600还可以包括致动器(例如,在XY平面中以三角形布置的三个致动器),所述致动器被配置为修改掩模版冷却设备620的腔顶(例如,第一冷却元件630的腔顶、第二冷却元件640的腔顶)与掩模版604的下表面之间的距离d。在一些方面中,致动器可以包括机械地联接到第一冷却元件630的第一致动器686A、机械地联接到第二冷却元件640的第二致动器686B、以及机械地联接到第一冷却元件630和第二冷却元件640两者的第三致动器(未示出)。
在一些方面中,示例性光刻设备600还可以包括冷却控制器684,所述冷却控制器684被配置为基于用于经图案化的辐射束的投影的定时数据、掩模版604上的曝光区域612的吸收数据以及目标传热率生成冷却控制信号。在一些方面中,冷却控制信号可以被配置为指示掩模版冷却设备620使致动器致动,以修改掩模版冷却设备620的腔顶与掩模版604的下表面之间的距离d。在一些方面中,掩模版冷却设备620的腔顶和掩模版604的下表面之间的距离d可以在约50微米和约100微米之间。
在一些方面中,掩模版冷却设备620还可以包括第一阀(未示出),所述第一阀被配置为选择性地限制第一冷却元件630的第一室634内的第一气体的第一流动。在一些方面中,第一阀可以在连接到第一室634的第一流体入口和第一流体出口之间限定第一气体输送通道。在一些方面中,第一阀可以包括第一壳体部分和第一滑动构件。在一些方面中,第一滑动构件可以在第一气体输送通道不受限制的第一位置和第一气体输送通道被部分限制的第二位置之间滑动。在一些方面中,第一滑动构件可以被配置为不与第一壳体部分物理接触。
在一些方面中,掩模版冷却设备620还可以包括第二阀(未示出),所述第二阀被配置为选择性地限制第二冷却元件640的第二室644内的第二气体的第二流动。在一些方面中,第二阀可以在连接到第二室644的第二流体入口和第二流体出口之间限定第二气体输送通道。在一些方面中,第二阀可以包括第二壳体部分和第二滑动构件。在一些方面中,第二滑动构件可以在第二气体输送通道不受限制的第二位置与第二气体输送通道被部分限制的第二位置之间滑动。在一些方面中,第二滑动构件可以被配置为不与第二壳体部分物理接触。
在一些方面中,示例性光刻设备600还可以包括掩模版温度调节系统688,所述掩模版温度调节系统688被配置为在掩模版604被放置在掩模版台606上之前,将掩模版604的第一温度调节到高于第一温度的第二温度。在一些方面中,示例性光刻设备600还可以包括被配置为加热掩模版604的加热设备(未示出)。在一些方面中,加热设备可以包括一对加热元件,该对加热元件包括第一加热元件和第二加热元件。在一些方面中,该对加热元件可以被配置为加热在光刻设备的非扫描方向上位于曝光区域612的相对端处的掩模版区域。在一些方面中,示例性光刻设备600还可以包括远程温度感测系统(未示出),所述远程温度感测系统包括被配置为检测从掩模版604的目标区域发射的热辐射的光电检测器。
在一些方面中,由冷却控制器684产生的冷却控制信号还可以被配置为改变掩模版冷却设备620(例如,第一冷却元件630、第二冷却元件640)、气体源680、冷却柜682、致动器(例如,第一致动器686A、第二致动器686B)、掩模版温度调节系统688、任何其他合适的部件或装置、或其任何组合的操作。在一些方面中,冷却控制器684可以被配置为将冷却控制信号(例如,经由一个或多个有线或无线通信路径直接或间接地)发送到掩模版冷却设备620(例如,第一冷却元件630、第二冷却元件640)、气体源680、冷却柜682、,致动器(例如,第一致动器686A、第二致动器686B)、掩模版温度调节系统688、任何其他合适的部件或装置、或其任何组合。
图7A和图7B是根据本公开的一些方面的在第一时间的示例性光刻设备700A的一部分和在第一时间之后的第二时间的示例性光刻设备700B的一部分的示例性操作的示意图。如图7A所示,示例性光刻设备700A可以包括光学系统(未示出),所述光学系统被配置为将辐射束702(例如,EUV辐射束)引导到由掩模版台(未示出)支撑的掩模版704,以通过使辐射束702反射离开掩模版704的图案化区域(诸如图案化区域705A、705B和705C)以形成经图案化的辐射束。辐射束702可以引起对掩模版704的曝光区域的加热。
在一些方面中,示例性光刻设备700A可以包括被配置为从掩模版704去除热量的掩模版冷却设备720。例如,掩模版冷却设备720可以被配置为从被设置为与掩模版704的曝光区域相邻(例如,在负Y方向上相邻)的第一冷却区域去除热量。另外地或替代地,掩模版冷却设备720可以被配置为从被设置为与掩模版704的曝光区域相邻(例如,在正Y方向上相邻)的第二冷却区域去除热量。
在一些方面中,掩模版冷却设备720可以包括位于曝光区域的相对侧的第一冷却元件730和第二冷却元件740。例如,第一冷却元件730可以设置在掩模版704下方并且在负Y方向上与曝光区域相邻,并且第二冷却元件740可以设置在掩模版704下方并在正Y方向上与曝光区域相邻。
在一些方面中,第一冷却元件730可以包括第一主体732,所述第一主体732包括连接到第一通道(未示出)的第一室734,所述第一通道被配置为将第一气体(例如H2)输送到第一室734。在一些方面中,在第一时间,第一室734的第一腔顶736可以被设置为在负Z方向上在掩模版704下方约第一距离d1处与掩模版704相邻。在一些方面中,第一腔顶736可以包括第一组开口738,所述第一组开口738被配置为朝向掩模版704的第一冷却区域输出第一气体,如第一气流739所指示的。在一些方面中,第一组开口738的密度可以沿扫描方向701的横向方向变化,使得第一组开口732的密度在第一腔顶736的外端处高于在第一腔顶736的中心部分处。在一些方面中,第一主体732还可以包括第一流体冷却通道733,所述第一流体冷却通道733被配置为从第一主体732去除热量。在一些方面中,掩模版冷却设备720还可以包括位于第一冷却元件730的一部分与掩模版704之间的第一热屏蔽件750。在一些方面中,第一热屏蔽件750可以被配置为减少由第一冷却元件730的所述部分从掩模版704移除的热量的量。
在一些方面中,第二冷却元件740可以包括第二主体742,所述第二主体742包括连接到第二通道(未示出)的第二室744,所述第二通道被配置为将第二气体(例如H2)输送到第二室742。在一些方面中,第二室744的第二腔顶746可以被设置为在负Z方向上在掩模版704下方约第一距离d1处与掩模版704相邻。在一些方面中,第二腔顶746可以包括第二组开口748,所述第二组开口748被配置为朝向掩模版704的第二冷却区域输出第二气体,如第二气流749所指示的。在一些方面中,第二组开口748的密度可以沿横向于扫描方向701的方向变化,使得第二组开口748的密度在第二腔顶746的外端处高于在第二腔顶746的中心部分处。在一些方面中,第二主体742还可以包括第二流体冷却通道743,所述第二流体冷却通道743被配置为从第二主体744去除热量。在一些方面中,掩模版冷却设备720还可以包括位于第二冷却元件740的一部分与掩模版704之间的第二热屏蔽件760。在一些方面中,第二热屏蔽件760可以被配置为减少由第二冷却元件740的所述部分从掩模版704移除的热量的量。
参考图7B,在一些方面中,掩模版冷却设备720可以包括或以机械方式联接到致动器(例如,在XY平面中以三角形布置的三个致动器),所述致动器被配置为响应于从冷却控制器接收到冷却控制信号,修改掩模版704的下表面与第一冷却元件730的第一腔顶736、第二冷却元件740的第二腔顶746或两者之间的距离。
在一些方面中,掩模版冷却设备720可以包括或电通信地或以其他方式通信地联接到冷却控制器,所述冷却控制器被配置为基于经图案化的辐射束的投影的定时数据、掩模版704上的曝光区域的吸收数据以及目标传热率生成冷却控制信号。在一些方面中,冷却控制信号可以被配置为指示掩模版冷却设备720使致动器致动,以(例如,通过距离变化Δd)修改掩模版704的下表面与第一冷却元件730的第一腔顶736、第二冷却元件740的第二腔顶746或两者之间的距离。在一些方面中,距离变化Δd=|d1-d2|,其中Δd的正值对应于掩模版冷却设备720在正Z方向上的移动,从而增加有效传热率以实现加强的冷却,Δd的负值对应于掩模版冷却设备720在负Z方向上的移动,从而降低有效传热率以实现减少的冷却。在一些方面中,冷却控制器可以被配置为将冷却控制信号(例如,经由一个或多个有线或无线通信路径直接或间接地)发送到掩模版冷却设备720(例如,第一冷却元件730、第二冷却元件740)、致动器、任何其他合适的部件或装置、或其任何组合。
在一个说明性和非限制性示例中,在如图7A所示的掩模版704的曝光区域与图案化区域705B重合时的第一时间,第一距离d1可以是约70微米,从而使得相对较少的加热掩模版704。随后,在晚于第一时间的第二时间,冷却控制器可以确定图案化区域中的间隙(例如,这会导致相对更多的加热掩模版704)正在出现,响应于所述确定生成冷却控制信号,所述冷却控制信号被配置为指示掩模版冷却设备720使致动器致动,以修改掩模版704的下表面与第一冷却元件730的第一腔顶736、第二冷却元件740的第二腔顶746或两者之间的距离大约20微米的距离变化Δd,从而增加有效传热率,以在与掩模版704的曝光区域相邻的第一冷却区域和第二冷却区域中实现增加的冷却。冷却控制器可以将冷却控制信号传输到致动器(例如,经由掩模版冷却设备720直接或间接地传输)。致动器可以接收冷却控制信号,并修改(例如,通过如箭头742所指示的向上移动掩模版冷却设备)掩模版704的下表面与第一冷却元件730的第一腔顶736、第二冷却元件740的第二腔顶746或两者之间的距离大约20微米的距离变化Δd,使得在如图7B所示的掩模版704的曝光区域与图案化区域不一致时的第二时间,第二距离d2为约50微米。
图8、图9和图10示意性地描绘了根据不同方面的被配置为解决均匀冷却功率分布问题的示例性掩模版冷却设备的示例实施例。图8、图9和图10的实施例可以包括与先前描述的实施例共同的一些特征,诸如来自参考图5A、图5B、图6、图7A和图7B描述的实施例的一些特征。为了简洁,下文省略了结合其他实施例描述和/或描绘的特征。
在一个方面中,图8描绘了掩模版冷却设备40的一部分的横截面800(例如,从下方观看),所述掩模版冷却设备40包括第一冷却元件4002和第二冷却元件4003。还描绘了弯曲的曝光区域E。弯曲的曝光区域E、第一冷却元件4002和第二冷却元件4003是弯曲的。第一冷却元件4002可以分为三个室:内部室4004;第一外部室4005;以及第二外部室4006。第一外部室4005和第二外部室4006位于内部室4004的两侧。遵循用于光刻设备的笛卡尔坐标系,第一外部室4005和第二外部室4006在X方向上位于内部室4004的两侧(例如,在非扫描方向上的两侧)。第二冷却元件4003可以设置有单个室4008。设有开口(未示出)的腔顶可以位于室4004、4005、4006和4008上方,并且冷却气体可以从开口流出到掩模版上以冷却掩模版。
在一些方面中,第一冷却元件4002和第二冷却元件4003可以具有弯曲的形状,所述弯曲的形状具有通常与弯曲的曝光区域E的曲线相对应的曲线。第一冷却元件4002和第二冷却元件4003的宽度可以不同于弯曲的曝光区域E的宽度(例如,弯曲的曝光区域E可以窄于冷却元件)。
在一些方面中,第一冷却元件4002可以被认为具有凹形前边缘。前边缘可以是当扫描方向为使得第一冷却元件4002领先第二冷却元件4003时,第一冷却元件4002的首先遇到目标区域4000的边缘(例如,在沿如图8中所示的箭头所指示的负Y方向的扫描曝光期间,第一冷却元件4002在第二冷却元件4003之前遇到目标区域4000)。
在一些方面中,第二冷却元件4003可以被认为具有凸形前边缘。前边缘可以是当扫描方向为使得第二冷却元件4003领先第一冷却元件4002时,第二冷却元件4003的首先遇到目标区域的边缘(例如,在沿与图8中所示的箭头相反的正Y方向的扫描曝光期间,第二冷却元件4003在第一冷却元件4002之前遇到目标区域)。
在一些方面中,在掩模版沿负Y方向移动的扫描曝光期间,目标区域4000的外部边缘4001在弯曲的曝光区域E的中间部分之前被辐射束加热。这可能导致弯曲的曝光区域E的变形,这对于使用光刻设备100或100’的投影系统PS可能是难以校正的。这可以通过在内部室4004处提供冷却气体之前,在第一外部室4005和第二外部室4006处提供冷却气来解决。例如,这可以通过在打开连接到内部室4004的阀之前打开连接到第一外部室4005和第二外部室4006的阀来实现。另外地或替代地,可以从第一外部室4005和第二外部室4006提供比从内部室4004提供的冷却更强的冷却。例如,可以通过在第一外部室4005和第二外部室4006中提供比在内部室4004中更高压力或更低温度的冷却气体来实现更强的冷却。
在一些方面中,掩模版冷却设备40可以在目标区域4000的外部边缘4001处提供集中的或专用的冷却。因此,当目标区域4000的曝光开始时,目标区域4000的外部边缘4001可以比目标区域4000的中心部分更冷。因此,在光刻曝光期间的对目标区域4000的外部边缘4001的初始加热会使得减少弯曲的曝光区域E的三阶变形。
在一些方面中,第二冷却元件4003可以由单个室4008而不是三个室组成。这是因为当扫描方向相反(例如,在沿与图8中所示的箭头相反的正Y方向的扫描曝光期间),并且第二冷却元件4003处于目标区域4000处的弯曲的曝光区域E之前时,三阶变形可以被减少。在一些方面中,当沿负Y方向的扫描曝光期间,目标区域4000的外部边缘4001在目标区域4000的中心部分之前被加热时,可能出现三阶变形,但这可能不会在沿Y方向的扫描曝光期间发生。由于当第二冷却元件4003处于弯曲的曝光区域E之前时可能不会出现三阶变形问题,因此将单个室4008分成三个室可能提供的益处较少。因此,掩模版冷却设备40可以使用第一冷却元件4002和第二冷却元件4003减少目标区域4000的三阶变形,所述第一冷却元件4002和第二冷却元件4003可以是相对简单的结构(例如,在三个室提供益处的实施例中在一侧上设置三个室4004、4005和4006,而在三个室提供较少益处的实施例中在另一侧上仅设置一个室4008)。在一些方面中,第二冷却元件4003可以类似于第一冷却元件4002而被分成三个室。
在一些方面中,由于曝光狭缝的弯曲形状,依赖于扫描方向,弯曲的曝光区域E的中部或左侧和右侧被较早曝光。为了最小化重叠误差,可以优选基于弯曲的曝光区域E的宽度不同地进行冷却。可以通过选择冷却元件的腔顶中的孔的适当分布来实现弯曲形状的冷却气体流量,所述冷却气体流量基于冷却表面的宽度进行布置,以便获得冷却流量分布。然而,在宽度上具有冷却流量分布在稀薄(例如,低压)气体情形中效果最好,而在连续(例如,高压)气体情况下效果不好。在这方面,在稀薄的情形中可以选择一种气体(例如H2)使用,在接近连续的情形中可以选择另一气体(例如N2)使用。如果选择阻塞气流,则轮廓可以与间隙高度几乎成线性比例。
图9描绘了包括第一冷却元件4022和第二冷却元件4023的掩模版冷却设备41的一部分的横截面900(例如,从下方观看)。第一冷却元件4022包括第一室4024,第二冷却元件4023包括第二室4025。弯曲的曝光区域E也被描绘在图9中。设置有开口(未示出)的腔顶可以位于第一室4024和第二室4025上方,并且冷却气体可以从开口流出到掩模版,以冷却掩模版。
在一些方面中,弯曲的曝光区域E、第一室4024和第二室4025都是弯曲的。然而,在图9所示的实施例中,第一冷却元件4022的第一室4024具有的曲线可以基本上为弯曲的曝光区域E的镜像图像(例如,关于X轴的映像)。换言之,第一冷却元件4022的第一室4024可以在与弯曲的曝光区域E相反的方向上弯曲。第二冷却元件4023的第二室4025的曲线通常对应于弯曲的曝光区域E的曲线。
在一些方面中,在沿如图9中的箭头所描绘的负Y方向的扫描曝光期间,第一冷却元件4022处于在掩模版的区域上方的弯曲的曝光区域E之前。在一些方面中,可能期望在弯曲的曝光区域E的边缘处提供比在弯曲的曝光区域E的中心部分处更多的对弯曲的曝光区域E的冷却。在图9所示的实施例中,这可以通过推迟由第一冷却元件4022提供冷却的时间来实现。具体地,可以(例如,基于冷却控制信号或冷却控制信号的一部分)推迟冷却,使得当第一室4024的前边缘的中心点4026经过目标区域4000的起点时冷却不开始。相反,例如可以推迟冷却,直到第一室4024的外端4028到达目标区域4000的外部边缘4001。当使用该方法时,目标区域4000的外部边缘4001接收来自第一冷却元件4022的完全冷却,而目标区域4000中的中心部分可以不接收完全冷却,而是可以接收较少的冷却。因此,可以防止或减少由在目标区域4000的中心区域之前被曝光的目标区域4000的外部边缘4001引起的三阶变形。
在一些方面中,当沿相反方向(例如,沿正Y方向)扫描时,可能不会出现三阶变形问题。由此,第二冷却元件4023的第二室4025可以不是弯曲的曝光区域E的镜像。
图10描绘了根据一方面的包括冷却元件4040的掩模版冷却设备42的一部分的横截面1000(例如,从侧面观看)。在图10中,使用沿Y方向的横截面来描绘掩模版冷却设备42。冷却元件4040包括壳体4044,所述壳体4044限定室4041和腔顶构件4042(例如,所述腔顶构件4042也可以被称为弯曲的腔顶)。腔顶构件4042可以设置有开口(未示出),在使用中冷却气体通过所述开口从室4041到达掩模版R上。腔顶构件4042可以是弯曲的,使得腔顶构件4042的外端(例如,在X方向上的外端)比腔顶构件4042的中心部分更靠近掩模版R。这有利地在曝光区域E(例如,如图8和图9所示的弯曲的曝光区域E)的边缘处比在曝光区域E的中心部分处提供对掩模版的更强冷却。这可以减少三阶变形。例如,腔顶构件4042的曲率可以是基本上抛物线形。
在一些方面中,可以在腔顶构件4042的端部处设置压电致动器(未示出)。压电致动器可以用于(例如,基于冷却控制信号或冷却控制信号的一部分)修改腔顶构件4042的曲率。例如,压电致动器可以用于使腔顶构件从弯曲布置(例如,如图10所示)移动成平坦布置。例如,当目标区域的边缘由于曝光区域E而被照射,但目标区域的中心部分未被照射时,可以使用弯曲布置。当所有的目标区域由于曝光区域E而被照射时,则可以将腔顶构件4042移动成平坦轮廓。
在其他方面(未示出)中,代替设置腔顶构件4042,壳体4044的腔顶可以是平坦的,但是可以在腔顶的外端(例如,在X方向上的外端)设置比在腔顶的中心部分中更高密度的开口。孔密度可以被配置为提供冷却,所述冷却可以等同于由具有恒定孔密度的抛物线形弯曲腔顶提供的冷却。术语“孔密度”是指腔顶的敞开比例与腔顶的闭合比例的对比。
在一些方面中,位于曝光区域E的两侧上的第一冷却元件和第二冷却元件可以独立地开启和断开。这可以应用于图8、图9和图10中所示的任何实施例,或与其他实施例的结合。
在一些方面中,参考图8、图9和图10描述的特征可以彼此结合或与其他特征结合。例如,如图9所示的反向弯曲可以与如图8所示的将冷却室分成内部室和外部室相结合。在另一示例中,如图9所示的反向弯曲可以与独立切换第一冷却元件和第二冷却元件相结合。在另一示例中,弯曲的腔顶(或修改的孔密度)可以与独立切换第一冷却元件和第二冷却元件相结合。
在一些方面中,本文公开的掩模版冷却设备中的任何一个或其组合可以形成掩模版检查设备的一部分。掩模版检查设备可以使用EUV辐射照射掩模版,并且使用成像传感器监测从掩模版反射的辐射。使用由成像传感器接收的图像确定掩模版中是否存在缺陷。掩模版检查设备可以包括光学器件(例如反射镜),所述光学器件被配置为接收来自EUV辐射源的EUV辐射,并将所述EUV辐射形成为待被引导到掩模版处的辐射束。掩模版检查设备还可以包括光学器件(例如反射镜),所述光学器件被配置为收集从掩模版反射的EUV辐射并在成像传感器处形成掩模版的图像。掩模版检查设备可以包括处理器,所述处理器被配置为分析成像传感器处的掩模版的图像,并且根据该分析确定掩模版上是否存在任何缺陷。处理器还可以被配置为确定当光刻设备使用掩模版时,所检测的掩模版缺陷是否将在投影到衬底上的图像中引起不可接受的缺陷。
在一些方面中,本文公开的掩模版冷却设备中的任何一个或其组合可以形成量测设备的一部分。量测设备可以用于测量在衬底上的抗蚀剂中形成的投影图案相对于已经存在于衬底上的图案的对准。这种相对对准的测量可以被称为重叠。例如,量测设备可以位于光刻设备附近,并且可以用于在处理衬底(和抗蚀剂)之前测量重叠。
在一些方面中,尽管所公开的实施例是在光刻设备的情形中描述的,但是也可以在其他设备的背景下使用本文公开的实施例。例如,本文公开的实施例可以形成掩模版检查设备、量测设备、或测量或处理物体(诸如衬底(例如晶片)或掩模版(例如,掩模或其他图案形成装置))的任何设备的一部分。这些设备通常可以被称为光刻工具。这样的光刻工具可以使用真空条件(例如,在EUV辐射中)或环境(非真空)条件(例如,在DUV辐射中)。在一些实施例中,术语“EUV辐射”可以被认为包括波长在4nm-20nm范围内(例如,在13nm-14nm范围内)的电磁辐射。EUV辐射可以具有小于10nm的波长(例如,在4nm-10nm的范围内,诸如6.7nm或6.8nm)。
从掩模版去除热量的示例性过程
图11是根据本公开的一些方面或其(多个)部分的用于从掩模版去除热量的示例性方法1100。参考示例性方法1100描述的操作可以通过或根据本文描述的系统、设备、部件、技术或其组合中的任何一个(诸如上面参考图1-10和下面参考图12描述的那些)执行。
在操作1102,该方法可以包括由冷却控制器基于通过照射由掩模版台支撑的掩模版上的曝光区域而形成的图案化辐射束的投影的定时数据、曝光区域的吸收数据以及目标传热率生成冷却控制信号。在一些方面中,冷却控制信号可以指示掩模版冷却设备使致动器致动,以修改掩模版与室的腔顶之间的距离,所述室的腔顶连接到用于向室输送气体的通道。在一些方面中,通道可以被设置在掩模版冷却设备的冷却元件的主体中。在一些方面中,除了操作1102或作为操作1102的替代,该方法可以包括使用两个基准(例如,透射图像传感器(TIS)标记或任何其他合适的基准)测量掩模版的失真,并使用失真测量结果调节由掩模版冷却设备提供的冷却、加热或两者。在一些方面中,冷却控制信号的生成可以使用合适的机械、电气或其他方法实现,并且包括根据上面参考图1-10和下面参考图12描述的任何方面或方面的组合生成冷却控制信号。
在操作1104,该方法可以包括通过冷却控制器将冷却控制信号传输到致动器。在一些方面中,冷却控制信号的传输可以使用合适的机械、电气或其他方法实现,并且包括根据上面参考图1-10和下面参考图12描述的任何方面或方面传输冷却控制信号。
在操作1106,该方法可以包括通过致动器并基于冷却控制信号修改掩模版和腔顶之间的距离(例如,从图7A所示的第一距离d1修改为图7B所示的第二距离d2),以朝向目标传热率修改与从掩模版去除热量相关联的传热率。在一些方面中,修改掩模版和腔顶之间的距离可以使用合适的机械、电气或其他方法实现,并且包括根据上面参考图1-10和下面参考图12描述的任何方面或方面修改掩模版和腔顶之间的距离。
示例性计算系统
本公开的各方面可以以硬件、固件、软件或其任何组合来实现。本公开的各方面还可以被实现为存储在机器可读介质上的指令,可以由一个或多个处理器读取和执行该指令。机器可读介质可以包括用于以机器(例如计算装置)可读形式来存储或传输信息的任何机构。例如,机器可读介质可以包括只读存储器(ROM);随机存取存储器(RAM);磁盘存储介质;光存储介质;闪存装置;电传播信号、光传播信号、声传播信号或其他形式的传播信号(例如,载波、红外信号、数字信号等),等等。另外,固件、软件、例程、指令以及其组合可以在本文中被描述为执行某些动作。然而,应了解,这样的描述仅仅是出于方便起见,并且这些动作事实上由于计算装置、处理器、控制器、或执行固件、软件、例程、指令或其组合的其它装置而产生,且执行这种操作可以使得致动器或其它装置(例如,伺服电机、机器人装置)与实体世界交互。
例如,可以使用一个或多个计算系统(诸如,图12中所示的示例性计算系统1200)实现各个方面。示例性计算系统1200可以是能够执行本文所描述的功能的专用计算机,诸如:参考图4描述的示例性激光系统401;参考图5描述的掩模版冷却设备520;参考图6描述的掩模版冷却设备620、气体源680、冷却柜682、冷却控制器684、致动器(例如,第一致动器686A、第二致动器686B)或掩模版温度调节系统688;参考图7描述的掩模版冷却设备720、冷却控制器或致动器;任何其他合适的系统、子系统或部件;或其任意组合。示例性计算系统1200可以包括一个或多个处理器(也称为中央处理单元或CPU),诸如处理器1204。处理器1204连接到通信基础设施1206(例如总线)。示例性计算系统1200还可以包括通过(多个)用户输入/输接口1202与通信基础设施1206通信的(多个)用户输入/输出装置1203,诸如监控器、键盘、指点装置等。示例性计算系统1200还可以包括主存储器1208(例如,一个或多个主存储装置),诸如随机存取存储器(RAM)。主存储器1208可以包括一个或多个级别的高速缓存。主存储器1208在其中存储有控制逻辑(例如计算机软件)和/或数据。
示例性计算系统1200还可以包括辅助存储器1210(例如,一个或多个辅助存储装置)。例如,辅助存储器1210可以包括硬盘驱动器1212和/或可移除存储驱动器1214。可移除存储驱动器1214可以是软盘驱动器、磁带驱动器、光盘驱动器、光学存储装置、磁带备份装置和/或任何其他存储装置/驱动器。
可移除存储驱动器1214可以与可移除存储单元1218交互。可移除存储单元1218包括其上存储有计算机软件(控制逻辑)和/或数据的计算机可用或可读存储装置。可移除存储单元1218可以是软盘、磁带、光盘、DVD、光学存储盘和/或任何其他计算机数据存储装置。可移除存储驱动器1214从可移除存储单元1218读取和/或向可移除存储单元1218写入。
根据一些方面,辅助存储器1210可以包括用于允许示例性计算系统1200访问计算机程序和/或其他指令和/或数据的其他装置、工具或其他方法。例如,这样的装置、工具或其他方法可以包括可移除存储单元1222和接口1220。可移除存储单元1222和接口1220的示例可以包括程序卡盒和卡盒接口(诸如在视频游戏装置中发现的程序卡盒和卡盒接口)、可移除存储芯片(诸如EPROM或PROM)和相关联的插座、记忆棒和USB端口、存储卡和相关联的存储卡槽、和/或任何其它可移除存储单元和相关联的接口。
示例性计算系统1200还可以包括通信接口1224(例如,一个或多个网络接口)。通信接口1224使得示例性计算系统1200能够与远程装置、远程网络、远程实体等的任何组合(单个地且统一地称为远程装置1228)进行通信和交互。例如,通信接口1224可以允许示例性计算系统1200基于通信路径1226与远程装置1228通信,所述通信路径1226可以是有线的和/或无线的,并且可以包括LAN、WAN、因特网等的任何组合。控制逻辑、数据或两者可以经由通信路径1226被传输到示例性计算系统1200,以及经由通信路径1226从示例性计算系统1200传输控制逻辑、数据或两者。
本公开的前述方面中的操作可以在各种各样的配置和结构中实现。因此,可以在硬件、软件或两者中执行前述方面中的一些或全部操作。在一些方面中,有形的、非暂时性的设备或制品包括其上存储有控制逻辑(软件)的有形的、非暂时性的计算机可用或可读介质,在本文中也称为计算机程序产品或程序存储装置。这包括但不限于示例性计算系统1200、主存储器1208、辅助存储器1210和可移除存储单元1218和1222,以及体现上述任意组合的有形制品。这样的控制逻辑在被一个或多个数据处理装置(诸如示例性计算系统1200)执行时使这样的数据处理装置如本文所描述的进行操作。
基于本公开中包含的教导,对于(多个)相关领域的技术人员来说,如何使用除了图12中所示的那些之外的数据处理装置、计算机系统和/或计算机结构制作和使用本公开的各方面将是显而易见的。特别地,本公开的各方面可以与除了本文所描述的那些之外的软件、硬件和/或操作系统实施方式一起操作。
可以进一步使用以下条项描述实施例:
1.一种光刻设备,包括:
光学系统,所述光学系统被配置为将辐射束引导到由掩模版台支撑的掩模版上,以形成经图案化的辐射束,其中所述辐射束引起对所述掩模版的曝光区域的加热;和
掩模版冷却设备,所述掩模版冷却设备被配置为从所述掩模版去除热量,其中所述掩模版冷却设备包括:
冷却元件,所述冷却元件设置在所述掩模版下方并与所述曝光区域相邻,其中:
所述冷却元件包括主体,所述主体包括连接到通道的室,所述通道被配置为向所述室输送气体,以及
所述室的腔顶被设置为与所述掩模版相邻并包括开口,所述开口被配置为朝向所述掩模版输出所述气体;
致动器,所述致动器被配置为修改所述腔顶和所述掩模版之间的距离;和
冷却控制器,所述冷却控制器被配置为:
基于所述经图案化的辐射束的投影的定时数据、所述掩模版上的所述曝光区域的吸收数据以及目标传热率生成冷却控制信号,其中所述冷却控制信号被配置为指示所述掩模版冷却设备使所述致动器致动,以修改所述腔顶和所述掩模版之间的距离;以及
将所述冷却控制信号传输到所述致动器。
2.根据条项1所述的光刻设备,其中所述腔顶和所述掩模版之间的距离在约50微米和约100微米之间。
3.根据条项1所述的光刻设备,其中所述冷却元件在与所述光刻设备的扫描方向相对应的方向上与所述曝光区域分隔开。
4.根据条项1所述的光刻设备,其中:
所述冷却元件是第一冷却元件;
所述掩模版冷却设备包括一对冷却元件,所述一对冷却元件包括所述第一冷却元件和第二冷却元件;以及
所述一对冷却元件设置在所述曝光区域的相对侧。
5.根据条项4所述的光刻设备,其中:
所述光刻设备包括扫描光刻设备,所述扫描光刻设备被配置为形成弯曲的曝光区域;以及
所述一对冷却元件是弯曲的。
6.根据条项5所述的光刻设备,其中所述第一冷却元件包括与所述弯曲的曝光区域相对应的凹形前边缘。
7.根据条项5所述的光刻设备,其中所述第一冷却元件包括与所述弯曲的曝光区域的映像相对应的凸形前边缘。
8.根据条项5所述的光刻设备,其中所述第一冷却元件包括腔顶构件,所述腔顶构件横向于所述扫描光刻设备的扫描方向弯曲,使得所述腔顶构件的外端比所述腔顶构件的中心部分更靠近所述掩模版。
9.根据条项5所述的光刻设备,其中:
所述冷却元件的所述主体的所述室的腔顶是所述第一冷却元件的第一主体的第一室的第一腔顶;
所述开口包括由所述第一腔顶限定的第一组开口;
所述第一组开口的密度沿横向于所述扫描光刻设备的扫描方向的方向变化;以及
所述第一组开口的密度在所述第一腔顶的外端处大于所述第一腔顶的中心部分处。
10.根据条项1所述的光刻设备,其中所述冷却元件还包括敞开式腔体,所述敞开式腔体设置在所述主体的相对于所述掩模版的最上面。
11.根据条项1所述的光刻设备,其中所述开口包括一排孔或孔阵列。
12.根据条项11所述的光刻设备,其中所述主体还包括至少一个可移动遮挡件,所述可移动遮挡件被配置为选择性地关闭所述一排孔或孔阵列的至少子集。
13.根据条项1所述的光刻设备,还包括:
热屏蔽件,所述热屏蔽件位于所述冷却元件的一部分和所述掩模版之间,
其中所述热屏蔽件被配置为减少由所述冷却元件的所述部分从所述掩模版移除的热量的量。
14.根据条项1所述的光刻设备,其中:
所述通道是第一通道;
所述掩模版冷却设备还包括阀,所述阀被配置为选择性地限制所述第一通道内的气体的流动;
所述阀在连接到所述第一通道的流体入口和流体出口之间限定第二通道;
所述阀包括壳体部分和滑动构件;
所述滑动构件能够在所述第二通道不受限制的第一位置和所述第二通道部分受限的第二位置之间滑动;以及
所述滑动构件被配置为不与所述壳体部分物理接触。
15.根据条项1所述的光刻设备,其中所述主体是能够弯曲的,以便控制由位于所述冷却元件和所述掩模版之间的气体的部分所提供的冷却。
16.根据条项1所述的光刻设备,还包括掩模版温度调节系统,所述掩模版温度调节系统被配置为在将所述掩模版放置在所述掩模版台上之前,将所述掩模版的第一温度调节为高于所述第一温度的第二温度。
17.根据条项1所述的光刻设备,还包括:
加热设备,所述加热设备被配置为加热所述掩模版,其中:
所述加热设备包括一对加热元件,所述一对加热元件包括第一加热元件和第二加热元件;以及
所述一对加热元件被配置为加热在所述光刻设备的非扫描方向上位于所述曝光区域的相对端处的掩模版区域。
18.根据条项1所述的光刻设备,其中所述冷却元件与所述掩模版热连通。
19.一种掩模版冷却设备,包括:
冷却元件,所述冷却元件被配置为设置在由掩模版台支撑的掩模版下方,并与所述掩模版的曝光区域相邻,其中:
所述冷却元件包括主体,所述主体包括连接到通道的室,所述通道被配置为向所述室输送气体,以及
所述室的腔顶被配置为设置为与所述掩模版相邻并包括开口,
所述开口被配置为朝向所述掩模版输出所述气体;
致动器,所述致动器被配置为修改所述腔顶和所述掩模版之间的距离;和
冷却控制器,所述冷却控制器被配置为:
基于由所述掩模版产生的经图案化的辐射束的投影的定时数据、所述掩模版上的所述曝光区域的吸收数据以及目标传热率生成冷却控制信号,其中所述冷却控制信号被配置为指示所述掩模版冷却设备使所述致动器致动,以修改所述腔顶和所述掩模版之间的距离;以及
将所述冷却控制信号传输到所述致动器。
20.一种方法,包括:
由冷却控制器基于通过照射由掩模版台支撑的掩模版上的曝光区域而形成的经图案化的辐射束的投影的定时数据、所述曝光区域的吸收数据以及目标传热率生成冷却控制信号,其中所述冷却控制信号指示掩模版冷却设备使致动器致动,以修改所述掩模版和室的腔顶之间的距离,所述室连接到用于向所述室输送气体的通道,所述通道在所述掩模版冷却设备的冷却元件的主体中;
由所述冷却控制器将所述冷却控制信号传输到所述致动器;以及
由所述致动器基于所述冷却控制信号修改所述掩模版和所述腔顶之间的距离,以朝向所述目标传热率修改与从所述掩模版去除热量相关联的传热率。
尽管在本文中可以具体地参考光刻设备在IC制造中的使用,但是应当理解,本文中描述的光刻设备可以具有其它应用,诸如制造集成光学系统、用于磁畴存储器的引导和检测图案、平板显示器、LCD、薄膜磁头等。本领域技术人员将理解,在此类替代应用的情境下,术语“衬底”或“管芯”在本文中的任何使用可以认为分别与更上位的术语“衬底”或“目标部分”同义。可以在曝光之前或之后在例如轨道单元(通常将抗蚀剂层施加到衬底并使曝光后的抗蚀剂显影的工具)、量测工具和/或检测工具中处理本文中提及的衬底。在适用的情况下,可以将本文的公开内容应用于这些和其它衬底处理工具。另外,可以将衬底处理一次以上,例如以便形成多层IC,使得本文中使用的术语衬底也可以指已经包含多个处理后的层的衬底。
应当理解,本文中的措辞或术语是为了描述而非限制的目的,使得本说明书的术语或措辞将由(多个)相关领域技术人员根据本文中的教导进行解释。
如本文所使用的术语“衬底”描述了其上添加有材料层的材料。在一些实施例中,衬底本身可以被图案化,并且添加在衬底的顶部上的材料也可以被图案化,或者可以保持不进行图案化。
本文中公开的示例是说明性的,而非限制本公开的实施例。对于本领域中通常遇到的各种条件和参数的其它合适修改和调整(并且(多个)相关领域的技术人员将明白这些修改和调整)将落入本公开的精神和范围内。
虽然上面已经描述了本公开的特定实施例,但是应当理解,各方面可以以不同于所描述的方式来实践。所述描述并非旨在限制本公开的实施例。
应当理解,具体实施方式部分(而不是背景技术、发明内容和摘要部分)旨在用于解释权利要求。发明内容和摘要部分可以阐述(多个)发明人所设想的一个或多个示例性实施例,但不是全部示例性实施例,因此发明内容和摘要部分不旨在以任何方式限制本实施例和所附权利要求。
上面已经借助于功能构建块描述了本公开的一些方面,所述功能构建块图示了特定功能的实现及其关系。为了便于描述,已经在本文中任意地定义了这些功能构建块的边界。只要适当地执行特定功能及其关系,就可以定义替代边界。
本公开的具体方面的上述描述将充分地揭示各方面的一般性质,使得其他人可以通过应用本领域技术内的知识而容易地修改和/或调整这些具体方面的各种应用,而无需过度实验,并且不会偏离本公开的一般概念。因此,基于本文所呈现的教导和指导,这种修改和调整旨在落入所公开的方面的等同物的含义和范围内。
本公开的广度和范围不应受任何上述示例性方面或实施例的限制,而应仅根据随附权利要求及其等同物来限定。

Claims (15)

1.一种光刻设备,包括:
光学系统,所述光学系统被配置为将辐射束引导到由掩模版台支撑的掩模版上,以形成经图案化的辐射束,其中所述辐射束引起对所述掩模版的曝光区域的加热;和
掩模版冷却设备,所述掩模版冷却设备被配置为从所述掩模版去除热量,其中所述掩模版冷却设备包括:
冷却元件,所述冷却元件设置在所述掩模版下方并与所述曝光区域相邻,其中:
所述冷却元件包括主体,所述主体包括连接到通道的室,
所述通道被配置为向所述室输送气体,以及
所述室的腔顶被设置为与所述掩模版相邻并包括开口,所述开口被配置为朝向所述掩模版输出所述气体;
致动器,所述致动器被配置为修改所述腔顶和所述掩模版之间的距离;以及
冷却控制器,所述冷却控制器被配置为:
基于所述经图案化的辐射束的投影的定时数据、所述掩模版上的所述曝光区域的吸收数据以及目标传热率生成冷却控制信号,其中所述冷却控制信号被配置为指示所述掩模版冷却设备使所述致动器致动,以修改所述腔顶和所述掩模版之间的距离;以及
将所述冷却控制信号传输到所述致动器。
2.根据权利要求1所述的光刻设备,其中所述腔顶和所述掩模版之间的距离在约50微米和约100微米之间,并且所述冷却元件在与所述光刻设备的扫描方向相对应的方向上与所述曝光区域分隔开。
3.根据权利要求1所述的光刻设备,其中:
所述冷却元件是第一冷却元件;
所述掩模版冷却设备包括一对冷却元件,所述一对冷却元件包括所述第一冷却元件和第二冷却元件;
所述一对冷却元件设置在所述曝光区域的相对侧;
所述光刻设备包括扫描光刻设备,所述扫描光刻设备被配置为形成弯曲的曝光区域;以及
所述一对冷却元件是弯曲的。
4.根据权利要求3所述的光刻设备,其中所述第一冷却元件包括与所述弯曲的曝光区域相对应的凹形前边缘。
5.根据权利要求3所述的光刻设备,其中所述第一冷却元件包括与所述弯曲的曝光区域的映像相对应的凸形前边缘。
6.根据权利要求3所述的光刻设备,其中所述第一冷却元件包括腔顶构件,所述腔顶构件横向于所述扫描光刻设备的扫描方向弯曲,使得所述腔顶构件的外端比所述腔顶构件的中心部分更靠近所述掩模版。
7.根据权利要求3所述的光刻设备,其中:
所述冷却元件的所述主体的所述室的腔顶是所述第一冷却元件的第一主体的第一室的第一腔顶;
所述开口包括由所述第一腔顶限定的第一组开口;
所述第一组开口的密度沿横向于所述扫描光刻设备的扫描方向的方向变化;以及
所述第一组开口的密度在所述第一腔顶的外端处大于在所述第一腔顶的中心部分处。
8.根据权利要求1所述的光刻设备,其中所述冷却元件还包括敞开式腔体,所述敞开式腔体设置在所述主体的相对于所述掩模版的最上表面内。
9.根据权利要求1所述的光刻设备,其中:
所述开口包括一排孔或孔阵列;以及
所述主体还包括至少一个可移动遮挡件,所述可移动遮挡件被配置为选择性地关闭所述一排孔或孔阵列的至少子集。
10.根据权利要求1所述的光刻设备,还包括:
热屏蔽件,所述热屏蔽件位于所述冷却元件的一部分和所述掩模版之间,
其中所述热屏蔽件被配置为减少由所述冷却元件的所述部分从所述掩模版移除的热量的量。
11.根据权利要求1所述的光刻设备,其中:
所述通道是第一通道;
所述掩模版冷却设备还包括阀,所述阀被配置为选择性地限制所述第一通道内的气体的流动;
所述阀在流体出口和连接到所述第一通道的流体入口之间限定第二通道;
所述阀包括壳体部分和滑动构件;
所述滑动构件能够在所述第二通道不受限制的第一位置和所述第二通道部分受限的第二位置之间滑动;以及
所述滑动构件被配置为不与所述壳体部分物理接触。
12.根据权利要求1所述的光刻设备,还包括掩模版温度调节系统,所述掩模版温度调节系统被配置为在将所述掩模版放置在所述掩模版台上之前,将所述掩模版的第一温度调节为高于所述第一温度的第二温度,其中所述主体是能够弯曲的,以便控制由位于所述冷却元件和所述掩模版之间的气体的部分所提供的冷却。
13.根据权利要求1所述的光刻设备,还包括:
加热设备,所述加热设备被配置为加热所述掩模版,其中:
所述加热设备包括一对加热元件,所述一对加热元件包括第一加热元件和第二加热元件;以及
所述一对加热元件被配置为加热在所述光刻设备的非扫描方向上位于所述曝光区域的相对端处的掩模版区域,
其中所述冷却元件与所述掩模版热连通。
14.一种掩模版冷却设备,包括:
冷却元件,所述冷却元件被配置为设置在由掩模版台支撑的掩模版下方,并与所述掩模版的曝光区域相邻,其中:
所述冷却元件包括主体,所述主体包括连接到通道的室,所述通道被配置为向所述室输送气体,以及
所述室的腔顶被配置为设置成与所述掩模版相邻并包括开口,所述开口被配置为朝向所述掩模版输出所述气体;
致动器,所述致动器被配置为修改所述腔顶和所述掩模版之间的距离;和
冷却控制器,所述冷却控制器被配置为:
基于由所述掩模版产生的经图案化的辐射束的投影的定时数据、所述掩模版上的所述曝光区域的吸收数据以及目标传热率生成冷却控制信号,其中所述冷却控制信号被配置为指示所述掩模版冷却设备使所述致动器致动,以修改所述腔顶和所述掩模版之间的距离;以及
将所述冷却控制信号传输到所述致动器。
15.一种方法,包括:
由冷却控制器基于通过照射由掩模版台支撑的掩模版上的曝光区域而形成的经图案化的辐射束的投影的定时数据、所述曝光区域的吸收数据以及目标传热率生成冷却控制信号,其中所述冷却控制信号指示掩模版冷却设备使致动器致动,以修改所述掩模版和室的腔顶之间的距离,所述室连接到用于向所述室输送气体的通道,所述通道在所述掩模版冷却设备的冷却元件的主体中;
由所述冷却控制器将所述冷却控制信号传输到所述致动器;以及
由所述致动器基于所述冷却控制信号修改所述掩模版和所述腔顶之间的距离,以将与从所述掩模版去除热量相关联的传热率朝向所述目标传热率修改。
CN202280040604.1A 2021-06-14 2022-05-27 掩模版冷却罩 Pending CN117425858A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163210394P 2021-06-14 2021-06-14
US63/210,394 2021-06-14
PCT/EP2022/064478 WO2022263148A1 (en) 2021-06-14 2022-05-27 Cooling hood for reticle

Publications (1)

Publication Number Publication Date
CN117425858A true CN117425858A (zh) 2024-01-19

Family

ID=82218462

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280040604.1A Pending CN117425858A (zh) 2021-06-14 2022-05-27 掩模版冷却罩

Country Status (6)

Country Link
US (1) US20240288783A1 (zh)
JP (1) JP2024523874A (zh)
KR (1) KR20240021180A (zh)
CN (1) CN117425858A (zh)
TW (1) TW202305515A (zh)
WO (1) WO2022263148A1 (zh)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6445439B1 (en) 1999-12-27 2002-09-03 Svg Lithography Systems, Inc. EUV reticle thermal management
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
US7511799B2 (en) 2006-01-27 2009-03-31 Asml Netherlands B.V. Lithographic projection apparatus and a device manufacturing method
US8964166B2 (en) * 2007-12-17 2015-02-24 Nikon Corporation Stage device, exposure apparatus and method of producing device
JP2010080855A (ja) * 2008-09-29 2010-04-08 Nikon Corp 露光装置、露光方法及びデバイスの製造方法
DE102011086513A1 (de) * 2011-11-16 2013-05-16 Carl Zeiss Smt Gmbh Projektionsbelichtungsverfahren und Projektionsbelichtungsanlage für die Mikrolithographie
JP6025976B2 (ja) * 2012-07-06 2016-11-16 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
NL2016541A (en) 2015-04-21 2016-10-24 Asml Netherlands Bv Lithographic Apparatus.
NL2019411A (en) 2016-09-02 2018-03-06 Asml Netherlands Bv Lithographic Apparatus

Also Published As

Publication number Publication date
JP2024523874A (ja) 2024-07-02
TW202305515A (zh) 2023-02-01
KR20240021180A (ko) 2024-02-16
US20240288783A1 (en) 2024-08-29
WO2022263148A1 (en) 2022-12-22

Similar Documents

Publication Publication Date Title
TWI420257B (zh) 微影裝置及元件製造方法
JP5650685B2 (ja) Euv照明均一性二重補正システムおよび方法
US20230269858A1 (en) Systems and methods for laser-to-droplet alignment
US11740565B2 (en) Collector flow ring
US20240288783A1 (en) Cooling hood for reticle
CN114008529A (zh) 光刻图案形成装置多通道定位和水平量规
US20240319608A1 (en) Fast uniformity drift correction
TWI820310B (zh) 微影設備、度量衡設備、光學系統及方法
JP2024531897A (ja) イルミネータ伝送を強化するためのリソグラフィ方法
US20230018949A1 (en) Calibration system for an extreme ultraviolet light source
US20230143962A1 (en) Seed laser system for radiation source
WO2022161795A1 (en) Fast uniformity drift correction
WO2023208475A1 (en) Thermally actuated cooling system
WO2024056318A1 (en) Illumination adjustment apparatuses and lithographic apparatuses
TW202043935A (zh) 具有熱控制系統之微影裝置及方法
CN116762042A (zh) 快速均匀性漂移校正
KR20230043857A (ko) 리소그래피 장치 및 기판의 다중 노광을 위한 방법
TW202431020A (zh) 照明調整設備及微影設備
CN117581160A (zh) 光刻系统、衬底下垂补偿器及方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination