CN117321601A - 一种集成电路的版图生成方法及装置 - Google Patents

一种集成电路的版图生成方法及装置 Download PDF

Info

Publication number
CN117321601A
CN117321601A CN202180097956.6A CN202180097956A CN117321601A CN 117321601 A CN117321601 A CN 117321601A CN 202180097956 A CN202180097956 A CN 202180097956A CN 117321601 A CN117321601 A CN 117321601A
Authority
CN
China
Prior art keywords
layout
information
basic
integrated circuit
layouts
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180097956.6A
Other languages
English (en)
Inventor
李定
胡贻升
李进朋
陈培杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Huawei Technologies Co Ltd
Original Assignee
Huawei Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Huawei Technologies Co Ltd filed Critical Huawei Technologies Co Ltd
Publication of CN117321601A publication Critical patent/CN117321601A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本申请提供一种集成电路的版图生成方法及装置,涉及电子技术领域,用于降低集成电路的版图设计过程中的人工参与,实现集成电路的版图设计的自动化。该方法包括:获取电路原理图包括的多个基本元器件的参数信息,该基本元器件可以是经过统一封装处理得到的;根据该参数信息确定该多个基本元器件对应的多个器件版图,即得到该多个基本元器件在集成电路版图中的具体形状和尺寸;获取该多个器件版图的相对布局信息,并根据该相对布局信息和该多个器件版图,确定该多个器件版图的物理位置信息,比如确定该多个器件版图中每个器件版图的坐标等;根据该物理位置信息,生成该电路原理图对应的集成电路的版图。

Description

一种集成电路的版图生成方法及装置 技术领域
本申请涉及电子技术领域,尤其涉及一种集成电路的版图生成方法及装置。
背景技术
集成电路的版图(layout)设计是集成电路制作所必不可少的环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本和功耗。传统的集成电路的版图设计流程通常包括:由设计人员根据电路原理图,在诸如电子设计自动化(electronic design automation,EDA)等集成电路的设计软件中生成对应器件的版图;根据电路原理图中不同器件的连接关系进行人工布局和连线;经过多次物理验证(physical verify,PV)、后仿真验证和调整后,得到最终的版图。但是,这种设计流程非常耗时、效率很低。
为了提升版图设计效率,现有技术中提供了一种版图设计方法,具体是通过对现有集成电路的版图的归纳和分析,确定出版图的类型、对应类型下可调整的参数接口和版图的设计逻辑等,基于上述信息将电路中一些特定单元的布局或者特定的布线参数化,以形成具有参数接口的参数化单元(parameter cell,PCell),用户在使用这些PCell时,只需通过PCell的参数接口输入相应的参数,即可生成固定类型和固定画法的版图。
但是,这种方式只能适用于设计逻辑比较简单的版图中,且不同单元的PCell只能根据特定的工艺进行设计,从而复用率较低。此外,上述设计过程需要大量的人工参与,且对设计人员的软件能力要求比较高。
发明内容
本申请提供一种集成电路的版图生成方法及装置,涉及电子技术领域,用于降低集成电路的版图设计过程中的人工参与,实现集成电路的版图设计的自动化。
第一方面,提供一种集成电路的版图生成方法,该方法包括:获取电路原理图包括的多个基本元器件的参数信息,该基本元器件可以是经过统一封装处理得到的,该基本元器件可以包括用于设计或构成电路的诸如电感、电阻和晶体管等基础电子元器件,也可以包括由两个或者两个以上的基础电子元器件组成的元器件,该参数信息可以包括工艺参数信息和电气参数信息;根据该参数信息确定该多个基本元器件对应的多个器件版图,即得到该多个基本元器件在集成电路版图中的具体形状和尺寸;获取该多个器件版图的相对布局信息,该相对布局信息可以包括该多个器件版图中任意一个或者多个器件版图在该集成电路版图中的相对位置信息;根据该相对布局信息和该多个器件版图,生成不含走线的集成电路的版图,以确定该多个器件版图的物理位置信息,比如,确定该多个器件版图中每个器件版图的坐标等;根据该物理位置信息,生成该电路原理图对应的集成电路的版图,比如,在不含走线的集成电路的版图进行布线,以得到最终的集成电路的版图。
上述技术方案中,该电子设备在获取电路原理图包括的多个基本元器件的工艺参数信息和电气参数信息后,可以根据该工艺参数信息和电气参数信息确定该多个基本元器件对应的多个器件版图,之后基于该多个器件版图的相对布局信息,确定该多个器件版图的物 理位置信息,并根据该物理位置信息完成不同器件版图之间的布线以生成该电路原理图对应的集成电路的版图,即实现了集成电路的版图设计的自动化。在此过程中,用户可以根据实际需求输入该多个器件版图的相对布局信息,即在集成电路的版图的自动化设计的过程中,设计人员可以对集成电路的版图进行整体布局,且而无需花费大量的时间进行手工布局布线等,从而解决版图设计人工耗时长的问题。
在第一方面的一种可能的实现方式中,根据该参数信息确定该多个基本元器件对应的多个器件版图,包括:对于该多个基本元器件中的第一基本元器件,根据该第一基本元器件的参数信息,将该第一基本元器件对应的模型器件例化为一个器件版图,该第一基本元器件为该多个基本元器件中的任意一个基本元器件,第一基本元器件对应的模型器件是对第一基本元器件进行统一封装处理得到的。上述可能的实现方式中,根据第一基本元器件的参数信息,可以通过将第一基本元器件对应的模型器件例化为一个器件版图,即可得到第一基本元器件的器件版图,从而提高了生成基本元器件的器件版图的效率。
在第一方面的一种可能的实现方式中,该方法还包括:根据该第一基本元器件,从预设模型器件库中获取该第一基本元器件对应的模型器件,该预设模型器件库包括多个模型器件,该多个模型器件可以是事先分别对多个不同的基本单元进行统一封装处理得到的,比如,该多个模型器件可以是对不同工艺和不同芯片代工厂提供的基本单元进行统一封装处理得到的,该多个不同的基本单元包括第一基本元器件。上述可能的实现方式中,该多个模型器件是分别对多个不同的基本单元进行统一封装处理得到的,该统一封装处理可以屏蔽不同工艺、不同芯片代工厂之间的差异,且能够提供统一的输入输出接口,从而使得基于该模型器件可以适用于不同工艺和不同芯片代工厂的产品要求。
在第一方面的一种可能的实现方式中,根据该参数信息确定该多个基本元器件对应的多个器件版图,包括:对于该多个基本元器件中的第二基本元器件,根据该第二基本元器件的参数信息,从器件版图库中获取该第二基本元器件的器件版图,比如,第二基本元器件为电感,该电感的工艺参数信息为TSMC28或者SMC28、电气参数信息为长度等于L和宽度等于W,则可以通过从该器件版图库中查找满足上述参数信息的电感的器件版图直接作为该第二基本元器件的器件版图,该器件版图库包括至少一个基本单元中的每个基本单元在至少一组参数信息下的器件版图,该器件版图库包括的器件版图可以是通过收集一个或者多个用户生成的器件版图得到的,该第二基本元器件为该多个基本元器件中的任意一个基本元器件,该至少一个基本单元包括第二基本元器件。上述可能的实现方式中,可以通过查询之前收集的器件版图中的器件版图来直接作为该第二基本元器件,而无需通过参数信息来生成,从而可以提高之前生成的器件版图的复用率,从而提高集成电路的版图的生成效率。
在第一方面的一种可能的实现方式中,根据该相对布局信息和该多个器件版图,确定该多个器件版图的物理位置信息,包括:根据该相对布局信息和该多个器件版图的尺寸,对该多个器件版图进行布局,以得到该多个器件版图的物理位置信息,该物理位置信息可用于生成不含走线的集成电路的版图,比如,通过相关算法计算按照上述多个器件版图的尺寸进行满足上述相对布局信息的布局时每个器件版图的坐标信息,基于该坐标信息布局该多个器件版图即可生成不含走线的集成电路的版图。上述可能的实现方式中,用户可以根据实际需求输入该多个器件版图的相对布局信息,即设计人员可以对集成电路的版图进 行整体布局,后续电子设备可以自动生成满足该相对布局信息的集成电路的版图,从而大大降低了人工参数量,提高了版图的生成效率。
在第一方面的一种可能的实现方式中,根据该相对布局信息和该多个器件版图的尺寸,对该多个器件版图进行布局,包括:根据该相对布局信息、该多个器件版图的尺寸和至少一种约束条件,对该多个器件版图进行布局,该至少一种约束条件包括以下条件中的一个或者多个:最小面积约束、最小距离约束、不同器件版图之间的电流关系或距离关系;其中,该最小面积约束可以是指最终生成的集成电路的版图所要求的最小面积,即该集成电路的版图占用的面积最小为该最小面积约束所要求的面积,该集成电路的版图占用的面积不能小于该最小面积约束所要求的面积;该最小距离约束可以是指任意两个相邻的器件版图之间的最小距离,即相邻的两个器件版图之间的最小距离为该最小距离约束所要求的距离,该相邻的两个器件版图之间的距离不能小于该最小距离约束所要求的距离;不同器件版图之间的电流关系可以是指流过该不同器件版图的电流的大小关系,比如,流过某两个器件版图的电流相等;该距离关系可以是指不同器件版图之间的距离关系,比如,该距离关系可用于指示某两个器件版图之间的最小距离或者最大距离等。上述可能的实现方式中,在对该多个器件版图进行布局时还可以进一步综合该集成电路的版图的其他约束条件,从而使得生成的集成电路的版图能够满足上述约束条件,进而减小了后续用户花费在检查和修正集成电路的版图的时间,即进一步减小了人工参与的时长。
在第一方面的一种可能的实现方式中,根据该物理位置信息,生成该电路原理图对应的集成电路的版图,包括:根据该电路原理图中的电路连接关系,在该物理位置信息对应的不含走线的集成电路版图中进行布线,以生成该电路原理图对应的集成电路的版图。上述可能的实现方式中,可以根据该电路原理图中的电路连接关系自动对应的不含走线的集成电路版图中进行布线,从而设计人员无需花费大量的时间在手工布线上,从而降低了版图设计的人工耗时。
在第一方面的一种可能的实现方式中,根据该电路原理图中的电路连接关系,在该物理位置信息所对应的不含走线的集成电路的版图进行布线包括:获取至少一条走线的布线信息,该至少一条走线为该电路原理图对应的集成电路的版图中的走线,该布线信息可以包括布线路径信息、以及布线宽度约束信息等,该至少一条走线可以为影响该集成电路的工作指标中的走线,该工作指标可以包括但不限于频率、相位噪声、幅值的波动大小、功耗和带宽等中的一个或者多个;根据该电路原理图中的电路连接关系和该至少一条走线的布线信息,在该物理位置信息对应的不含走线的集成电路版图中进行布线。上述可能的实现方式中,用户可以根据实际需要通过输入布线信息对集成电路的版图中的一条或者多条走线进行规划,从而满足用户对于集成电路的版图中部分走线的特殊需求,进而提高集成电路的版图的生成的灵活性。
在第一方面的一种可能的实现方式中,该相对布局信息包括该多个器件版图中至少两个器件版图在同一金属层中的投影之间的相对位置信息,比如,通过该相对布局信息对同一金属层中存在一定的电流电压等关系的两个或者多个器件版图的位置进行设置;和/或,相对布局信息还包括该多个器件版图中至少两个器件版图所在的金属层之间的相对层级信息,比如,通过该相对布局信息对不同金属层中存在一定的电流电压等关系的两个或者多个器件版图的位置进行设置。上述可能的实现方式中,通过该相对布局信息对该多个 器件版图中存在一定关系的两个或者多个器件版图的位置进行设置,可以在提高集成电路的版图的性能的同时,降低后续生成集成电路的版图的复杂度。
在第一方面的一种可能的实现方式中,获取电路原理图包括的多个基本元器件的参数信息,包括:第一种、用户根据实际需求输入该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;第二种、基于用户的触发,从该电路原理图中获取该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;第三种、用户根据实际需求输入该多个基本元器件的工艺参数信息,以及基于用户的触发从该电路原理图中获取该多个基本元器件中每个基本元器件器件的电气参数信息。在实际应用中,也可以通过上述三种方式中的任一种方式来获取部分基本元器件的电气参数信息等。
第二方面,提供一种集成电路的版图生成装置,该装置包括:获取单元,用于获取电路原理图包括的多个基本元器件的参数信息,该基本元器件可以是经过统一封装处理得到的,该基本元器件可以包括用于设计或构成电路的诸如电感、电阻和晶体管等基础电子元器件,也可以包括由两个或者两个以上的基础电子元器件组成的元器件,该参数信息可以包括工艺参数信息和电气参数信息;处理单元,用于根据该参数信息确定该多个基本元器件对应的多个器件版图,即得到该多个基本元器件在集成电路版图中的具体形状和尺寸;该获取单元,还用于获取该多个器件版图的相对布局信息,该相对布局信息可以包括该多个器件版图中任意一个或者多个器件版图在该集成电路版图中的相对位置信息;该处理单元,还用于根据该相对布局信息和该多个器件版图,确定该多个器件版图的物理位置信息,比如,确定该多个器件版图中每个器件版图的坐标;该处理单元,还用于根据该物理位置信息,生成该电路原理图对应的集成电路的版图,比如,在不含走线的集成电路的版图进行布线,以得到最终的集成电路的版图。
在第二方面的一种可能的实现方式中,该处理单元还用于:对于该多个基本元器件中的第一基本元器件,根据该第一基本元器件的参数信息,将该第一基本元器件对应的模型器件例化为一个器件版图,该第一基本元器件为该多个基本元器件中的任意一个基本元器件。
在第二方面的一种可能的实现方式中,该处理单元还用于:根据该第一基本元器件,从预设模型器件库中获取该第一基本元器件对应的模型器件,该预设模型器件库包括多个模型器件,该多个模型器件是分别对多个不同的基本单元进行统一封装处理得到的,比如,该多个模型器件可以是对不同工艺和不同芯片代工厂提供的基本单元进行统一封装处理得到的,该多个不同的基本单元包括第一基本元器件。
在第二方面的一种可能的实现方式中,该处理单元还用于:对于该多个基本元器件中的第二基本元器件,根据该第二基本元器件的参数信息,从器件版图库中获取该第二基本元器件的器件版图,比如,第二基本元器件为电感,该电感的工艺参数信息为TSMC28、电气参数信息为长度等于L和宽度等于W,则可以通过从该器件版图库中查找满足上述参数信息的电感的器件版图直接作为该第二基本元器件的器件版图,该器件版图库包括至少一个基本单元中的每个基本单元在至少一组参数信息下的器件版图,该器件版图库包括的器件版图可以是通过收集一个或者多个用户生成的器件版图得到的,该第二基本元器件为该多个基本元器件中的任意一个基本元器件,该至少一个基本单元包括第二基本元器件。
在第二方面的一种可能的实现方式中,该处理单元还用于:根据该相对布局信息和该多个器件版图的尺寸,对该多个器件版图进行布局,以得到该多个器件版图的物理位置信息,该物理位置信息可用于生成不含走线的集成电路的版图,比如,通过相关算法计算按照上述多个器件版图的尺寸进行满足上述相对布局信息的布局时每个器件版图的坐标信息,基于该坐标信息布局该多个器件版图即可生成不含走线的集成电路的版图。
在第二方面的一种可能的实现方式中,该处理单元还用于:根据该相对布局信息、该多个器件版图的尺寸和至少一种约束条件,对该多个器件版图进行布局,该至少一种约束条件包括以下条件中的一个或者多个:最小面积约束、最小距离约束、不同器件版图之间的电流关系或距离关系。
在第二方面的一种可能的实现方式中,该处理单元还用于:根据该电路原理图中的电路连接关系,在该物理位置信息对应的不含走线的集成电路版图中进行布线,以生成该电路原理图对应的集成电路的版图。
在第二方面的一种可能的实现方式中,该处理单元还用于:获取至少一条走线的布线信息,该至少一条走线为该电路原理图对应的集成电路的版图中的走线,该布线信息可以包括布线路径信息、以及布线宽度约束信息等,该至少一条走线可以为影响该集成电路的工作指标中的走线,该工作指标可以包括但不限于频率、相位噪声、幅值的波动大小、功耗和带宽等中的一个或者多个;根据该电路原理图中的电路连接关系和该至少一条走线的布线信息,在该物理位置信息对应的不含走线的集成电路版图中进行布线。
在第二方面的一种可能的实现方式中,该相对布局信息包括该多个器件版图中至少两个器件版图在同一金属层中的投影之间的相对位置信息,比如,通过该相对布局信息对同一金属层中存在一定的电流电压等关系的两个或者多个器件版图的位置进行设置;和/或,该相对布局信息还包括该多个器件版图中至少两个器件版图所在的金属层之间的相对层级信息,比如,通过该相对布局信息对不同金属层中存在一定的电流电压等关系的两个或者多个器件版图的位置进行设置。
在第二方面的一种可能的实现方式中,获取单元还用于:接收用户输入的该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;或者,基于用户的触发,从该电路原理图中获取该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;或者,接收用户输入的该多个基本元器件的工艺参数信息,以及基于用户的触发从该电路原理图中获取该多个基本元器件中每个基本元器件器件的电气参数信息。在实际应用中,获取单元也可以通过上述三种方式中的任一种方式来获取部分基本元器件的电气参数信息等。
在本申请的又一方面,提供一种集成电路的版图生成装置,该装置包括处理器和存储器,该存储器中存储有指令,该处理器运行该存储器中的指令,以使该装置执行上述第一方面或者第一方面的任一种可能的实现方式所提供的集成电路的版图生成方法。
在本申请的又一方面,提供一种计算机可读存储介质,该计算机可读存储介质中存储有指令,当该指令在设备上运行时,使得该设备执行上述第一方面或者第一方面的任一种可能的实现方式所提供的集成电路的版图生成方法。
在本申请的又一方面,提供一种计算机程序产品,当该计算机程序产品在设备上运行时,使得该设备执行上述第一方面或者第一方面的任一种可能的实现方式所提供的集 成电路的版图生成方法。
应当理解的是,上述提供的任一种集成电路的版图生成装置、计算机可读存储介质和计算机程序产品的有益效果均可以对应参考上文对应方面提供的方法的有益效果,此处不再赘述。
附图说明
图1为一种传统的集成电路的版图设计的流程图;
图2为一种提炼和生成PCell的流程示意图;
图3为本申请实施例提供的一种集成电路的版图生成方法的流程示意图;
图4为本申请实施例提供的一种数字控制振荡器的电路原理图;
图5为本申请实施例提供的一种模型器件和器件版图的示意图;
图6为本申请实施例提供的一种多个器件版图的相对布局信息的示意图;
图7为本申请实施例提供的一种集成电路的版图设计的流程图;
图8为本申请实施例提供的一种数字控制振荡器的集成电路的版图;
图9为本申请实施例提供的一种集成电路的版图生成装置的结构示意图;
图10为本申请实施例提供的另一种集成电路的版图生成装置的结构示意图。
具体实施方式
本申请中,“至少一个”是指一个或者多个,“多个”是指两个或两个以上。“和/或”,描述关联对象的关联关系,表示可以存在三种关系,例如,A和/或B,可以表示:单独存在A,同时存在A和B,单独存在B的情况,其中A,B可以是单数或者复数。“以下至少一项(个)”或其类似表达,是指的这些项中的任意组合,包括单项(个)或复数项(个)的任意组合。例如,a,b或c中的至少一项(个),可以表示:a,b,c,a-b,a-c,b-c或a-b-c,其中a、b和c可以是单个,也可以是多个。字符“/”一般表示前后关联对象是一种“或”的关系。另外,在本申请的实施例中,“第一”、“第二”等字样并不对数量和执行次序进行限定。
需要说明的是,本申请中,“示例性的”或者“例如”等词用于表示作例子、例证或说明。本申请中被描述为“示例性的”或者“例如”的任何实施例或设计方案不应被解释为比其他实施例或设计方案更优选或更具优势。确切而言,使用“示例性的”或者“例如”等词旨在以具体方式呈现相关概念。
在介绍本申请实施例之前,首先对本申请所涉及的集成电路的版图(layout)设计的相关技术背景进行介绍说明。
其中,集成电路的版图设计是集成电路制作所必不可少的环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本和功耗。如图1所示,传统的集成电路的版图设计流程通常包括:由设计人员根据电路原理图,在诸如电子设计自动化(electronic design automation,EDA)等集成电路的设计软件中生成对应器件的版图;根据电路原理图中不同器件的连接关系进行人工布局和连线;对初步生成的版图进行物理验证(physical verify,PV),若PV不通过则返回人工布局和连线的步骤(比如,调整布局和连线),若PV通过则进程后仿真验证,比如,该后仿真验证可以使用spice仿真器、寄生参数抽取工具等;若后仿真验证通过则得到最终的版图;若后仿真验证不通过则返回人工布局和连线的步骤重新执行,直至PV和后仿真验证均通过为止。其中,在人工 布局和连线、PV和后仿真验证过程中,可以根据芯片代工厂(foundry)的工艺设计工具包(process design kit,PDK)和工艺设计约束条件来进行。
但是,随着工艺尺寸的越来越小,芯片代工厂要求的版图设计规则(design rule)随之越来越多,需要满足设计规则越来越复杂,常见的设计规则如:最小距离约束、金属宽度约束等。因此,版图工程师需要花费大量的实践,通过不停的PV、后仿真验证、以及调整版图的布局和连线等方式,才能达到通过设计规则检查(design rule checking,DRC)和版图原理图对比(layout versus schematic,LVS)验证。这种版图设计流程非常耗时、效率很低;此外,当工艺调整或者电路设计参数发生比较大的变化时,原先的版图布局将不再适用,需要重新进行布局和布线等,从而整个设计过程重用性很低。
目前,部分电子设计自动化(electronic design automation,EDA)软件(比如,Cadence的PCell Designer工具)能够提供参数化单元(parameterized cell,PCell)设计的图形界面和比较丰富的设计接口,PCell设计可以比较方便的将一些特定的布局或者特定的布线参数化,最终生成一个定制的PCell。当用户在使用这些PCell时,只需通过PCell的参数接口输入相应的参数,即可生成固定类型和固定画法的版图。示例性的,如图2所示,为本申请实施例提供的一种提炼和生成PCell的流程示意图,具体可以包括:由PCell设计人员对现有集成电路的版图的归纳和分析,提炼出版图的类型;根据版图的类型提炼并定义可调整的参数接口;提炼出版图的设计逻辑;基于该设计逻辑来设计生成模块化的PCell,基于上述信息将电路中一些特定单元的布局或者特定的布线参数化,以形成具有参数接口的PCell。在设计生成模块化的PCell时,需要使用PCell设计工具,并通过该工具中的模块调用组件、逻辑组件和运算组件等在设计界面上来进行设计。
但是,这种方式只能适用于设计逻辑比较简单的版图中,而不能适用于设计逻辑比较复杂的版图中,这是因为对于设计逻辑比较复杂的版图,设计人员前期很难通过分析和归纳准确地得出正确的逻辑,从而无法准确的提炼出版图的类型进行后续的设计。另外,上述不同单元的PCell只能根据特定的工艺进行设计,一旦切换工艺则需要针对重新生成对应工艺的Pcell,从而复用率较低。此外,上述设计过程需要大量的人工参与,且对设计人员的软件能力要求比较高,设计过程定制化,复用度很低,无法通过设计的积累持续提升设计能力和效率。
基于此,本申请实施例提供一种集成电路的版图生成方法及装置,能够使版图设计人员更专注于整体布局以及各种物理效应上,而无需花费大量的时间在手工布局布线、DRC或者LVS的修正上,从而解决版图设计人工耗时长、以及设计复用率低的问题。
图3为本申请实施例提供的一种集成电路的版图生成方法的流程示意图,该方法可用于生成电路原理图(schematic)对应的集成电路的版图(layout),该方法可以由电子设备来执行,具体可以通过电子设备上安装的EDA软件来执行,该方法包括以下几个步骤。
S301:获取电路原理图包括的多个基本元器件的参数信息。
其中,该电路原理图可以是指用于表示电路的结构和工作原理的电路图,该电路原理图可以利用EDA软件生成,该电路原理图中的每个基本元器件可以通过对应的基本元器件符号来表示,每个基本元器件可以具有对应的元器件信息,比如,该元器件信息可以包括器件的标识和参数信息等。该基本元器件可以包括用于设计或构成电路的基础电子元器件,也可以包括由两个或者两个以上的基础电子元器件组成的元器件。比如,该基本元器 件可以包括电阻(比如,普通电阻、光敏电阻、热敏电阻、压敏电阻、湿敏电阻、可变电阻)、电感、电容(比如,固定电容、可变电容、半可变电容)、二极管(比如,普通二极管、发光二极管、稳压二极管、光电二极管)、晶体管(比如,N型晶体管、P型晶体管)、开关和接插件(比如,排针、排座、接线柱)等,也可以包括由上述电子元器件中的两个或者两个以上的电子元器件组成的元器件。该电路原理图包括多个基本元器件,该多个基本元器件可以包括多个不同的基本元器件,也可以存在相同的基本元器件,这些基本元器件可以通过该电路原理图中所包含的结构信息进行区分或识别。
示例性的,如图4所示,假设该电路原理图为数字控制振荡器的电路原理图,该电路原理图中包括三个基本元器件,具体为电感、可调电容模块和负阻模块。其中,该电感为基础电子元器件,该可调电容模块是由两个可调电容(比如,一个组粒度的可调电容C1和一个细粒度的可调电容C2,每个可调电容可以包括多个基础电子元器件,比如,包括多个电容和晶体管等)组成的基本元器件,该负阻模块是由两个晶体管M1和M2(每个晶体管可以为一个基础电子元器件)组成的基本元器件。该电路原理图的结构信息可用于指示上述三个基本元器件、以及每个基本元器件所包括的基础电子元器件。
另外,该参数信息可以包括工艺参数信息和电气参数信息。该多个基本元器件共用同一个工艺参数信息,该工艺参数信息具体可以由版图设计人员根据实际需求进行确定,比如,该多个基本元器件的工艺参数信息可以为台积电(Taiwan semiconductor manufacturing company,TSMC)28nm(简称TSMC 28)、TSMC 16或者SMC 28(nm)等。该电气参数信息包括该多个基本元器件的电气参数信息,该多个基本元器件中不同基本元器件的电气参数信息可以是不同的,比如,该多个基本元器件包括电阻和晶体管,该电阻的电气参数信息可以包括电阻值,该晶体管的电气参数信息可以包括沟道的宽度和长度。
示例性的,以图4所示的该数字控制振荡器的电路原理图为例,在该电路原理图包括的三个基本元器件中,该电感、该可调电容模块和该负阻模块的工艺参数信息可以为TSMC28,该电感的电气参数信息可以包括该电感的走线宽度,该可调电容模块的电气参数信息可以包括可调电容的数量、单个可调电容的宽度和长度,该负阻模块的电气参数信息可以包括晶体管的行数和列数、以及晶体管沟道的宽度和长度。
具体的,当用户需要生成该电路原理图对应的集成电路的版图时,该电子设备可以通过以下方式获取该多个基本元器件的工艺参数信息和电气参数信息:第一种、该用户可以根据实际需求向该电子设备输入该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;第二种、该用户触发该电子设备从该电路原理图中获取该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;第三种、该用户可以根据实际需求向该电子设备输入该多个基本元器件的工艺参数信息,并触发该电子设备从该电路原理图中获取该多个基本元器件中每个基本元器件器件的电气参数信息。在实际应用中,也可以通过上述三种方式中的任一种方式来获取部分基本元器件的电气参数信息等,本申请实施例对此不作具体限制。
S302:根据该参数信息将该多个基本元器件对应的多个模型器件例化为多个器件版图,该多个模型器件是分别对该多个基本元器件进行统一封装处理得到的。
其中,该多个基本元器件与该多个模型器件一一对应,也即是,该多个基本元器件中的每个基本元器件对应一个模型器件。当该多个基本元器件包括多个不同的基本元器件 时,该多个模型器件包括的多个模型器件也是不同的。当该多个基本元器件中存在相同的基本元器件时,该相同的基本元器件对应的模型器件也是相同的。
另外,该模型器件可以是对基本单元进行统一封装处理后形成的器件,该基本单元可以包括同一芯片代工厂或者不同芯片代工厂提供的基本元器件,从而该基本单元也可以称为基本元器件,下文中统称为基本元器件。这里的统一封装处理具体可以包括对基本元器件的输入输出接口进行统一封装、以及统一命名该基本元器件的电气参数和类型等,比如,按照不同芯片代工厂中某一代工厂对于不同基本元器件的命名和类型的划分对其他芯片代工厂对应的基本元器件的进行命名和类型的划分。也即是,不同芯片代工厂提供的同一基本元器件对应的模型器件是在屏蔽了不同工艺、不同芯片代工厂之间的差异的基础上,提供了一致的器件参数、一致的输入输出接口(或称为外部连接接口)、一致器件性能、电气参数访问接口,从而能够解决器件级的DRC问题,并提供标准化的输入输出接口,将器件与工艺解耦。比如,以晶体管的沟道的宽度的命名为例,有的芯片代工厂的命名为width,而有的芯片代工厂的命名为nfin,本申请中可以将晶体管的沟道的宽度统一命名为width。
再者,该多个模型器件具有一致的输入输出接口可以是指该多个模型器件中每个模型器件的输入输出接口是按照相同标准或设计规范进行统一封装处理的,封装处理后得到的该多个模型器件中任意两个模型器件的输入输出接口之间可以直接进行连接,而无需做其他处理,比如,该相同标准或设计规范可以是要求每个模型器件的输入输出接口的边界可直接用于和其他模型器件的输入输出接口连接,每个模型器件的输入输出接口的长度大于或等于预设长度阈值,和/或每个模型器件的输入输出接口的宽度大于或等于预设宽度阈值等。上述标准和设计规范具体可以根据DRC设计规则、不同器件的特性、以及不同工艺的特性来进行确定,本申请实施例对此不作具体限制。另外,对于同一基本元器件的不同工艺,对应的模型器件可以是一致的,比如,同一基本元器件在不同工艺下的模型器件所具有的端口名称、数目和连接方式等是相同的。
示例性的,以晶体管为例,对于同样都是p型(p-type)的核心(core)管,统一的命名或名称可以为pch_mac,对应的参数信息列表可以包括沟道的长度l、宽度w和单元重复数量m,对应的输入输出接口可以包括源极S、漏极D、栅极G和体极B,连接方式可以为S和D连接到第二层金属层并横向走线、G连接到第一层金属层并统一横向走线。
具体的,当该电子设备获取到该参数信息,即获取到工艺参数信息和该多个基本元器件中每个基本元器件的电气参数信息时,对于该多个模型器件中的每个模型器件,该电子设备可以根据该工艺参数信息和该模型器件对应的基本元器件的电气参数信息,将该模型器件例化为器件版图,即得到该器件版图的具体形状和尺寸等,从而该多个模型器件可以对应得到多个器件版图。
示例性的,以图4所示的数字控制振荡器的工作原理图为例,在该电路原理图包括的三个基本元器件中,该电感和该负阻模块对应的模型器件和器件版图可以分别如图5中的(a)和(b)所示,该可调电容模块的模型器件可以如图5中的(c)所示,该可调电容模块未示出对应的器件版图。其中,该电感的器件版图可以为多边形;该负阻模块的器件版图包括两个晶体管,S1、G1和D1可以分别为第一个晶体管M1的源极、栅极和漏极,S2、G2和D2可以分别为第二个晶体管M2的源极、栅极和漏极,通过S1、G1、D1、S2、G2 和D2上所设置的通孔走线可实现第一个晶体管M1和第二晶体管M2的相应连接。
进一步的,该多个模型器件可以是从模型器件库中获取的,该模型器件库可以是预先设置的,且该模型器件库中可以包括多个不同的模型器件,该多个不同的模型器件中的每个模型器件对应一个基本元器件,即每个模型器件为对应的基本元器件的模型器件。比如,如下表1所示,该模型器件库可以包括多个基本元器件的标识且分别表示为ID1至IDn、以及多个不同的模型器件且分别表示为Model-1至Model-n,ID1至IDn与Model-1至Model-n一一对应,n为大于1的整数。
表1
基本元器件的标识 模型器件
ID1 Model-1
ID2 Model-2
…… ……
IDn Model-n
具体的,在根据该参数信息将该多个模型器件例化为多个器件版图之前,该方法还可以包括:对于该多个基本元器件中的每个基本元器件,根据该基本元器件从该模型器件库中获取对应的模型器件,从而该多个基本元器件对应得到该多个模型器件。比如,对于每个基本元器件,根据该基本元器件的标识从该模型器件库中获取与该标识对应的模型器件,即得到该基本元器件对应的模型器件。
可选的,在获取到该多个基本元器件的参数信息时,该电子设备还可以根据该多个基本元器件的参数信息先查询器件版图样本库,该器件版图样本库中存储有大量的器件版图,该器件版图样本库中的器件版图可以是通过收集不同用户已生成的器件版图得到的。具体的,对于该多个基本元器件中的每个基本元器件,可以根据该基本元器件的参数信息查询该器件版图样本库,若该版图样本库中存在满足该基本元器件的参数信息的器件版图,则可以将该器件版图确定为该基本元器件的器件版图。若该版图样本库中不存在满足该基本元器件的参数信息的器件版图,则可以按照上述S302的描述生成该基本元器件的器件版图;进一步的,该电子设备还可以将生成的版图器件存储在该器件版图样本库中,以便于后续使用或者其他用户使用。
S303:获取该多个器件版图的相对布局信息,并根据该相对布局信息和该多个器件版图,确定该多个器件版图的物理位置信息。
其中,该相对布局信息可以是指该多个器件版图在该电路原理图对应的集成电路的版图中的相对布局信息。该相对布局信息可以包括该多个器件版图中任意两个器件版图之间的相对位置信息,或者任意一个器件版图的相对位置等,该相对位置信息可以包括该两个器件版图所在的金属层之间的相对关系,该两个器件版图在同一金属层中的投影之间的相对位置、以及任意一个器件版图所该集成电路的版图中的相对位置等。比如,以该两个器件版图为A和B为例,该相对布局信息可以用于指示A所在的金属层位于B所在的金属层之上,且A在B所在的金属层上的投影位于B的左侧。示例性的,以图4所示的数字振荡器的电路原理图为例,该电感、该可调电容模块和该负阻模块对应的器件版图的相对布局信息可以如图6所示,该负阻模块的器件版图可以位于该电感的器件版图与该可调电容模块的器件版图之间,即该三个基本元器件在同一金属层的投影从上而下依次为该电感 的器件版图、该负阻模块的器件版图、该可调电容模块的器件版图。
另外,该多个器件版图的物理位置信息是指该多个器件版图在该电路原理图对应的集成电路的版图中的物理位置信息。该物理位置信息可以用于指示该多个器件版图中每个器件版图的物理位置,一个器件版图的物理位置可以包括该多个器件版图中每个器件版图所在的金属层、以及该器件版图在金属层中的坐标位置等。
具体的,该电子设备在获取该多个器件版图的相对布局信息时可以通过以下几种方式来获取:第1种、该用户可以根据实际需求向该电子设备输入该多个器件版图的相对布局信息;第2种、该用户触发该电子设备从该电路原理图中获取该多个器件版图的相对布局信息;第3种、该用户可以根据实际需求向该电子设备输入该多个器件版图中一部分器件版图的相对布局信息,并触发该电子设备从该电路原理图中获取该多个器件版图中另一部分器件版图的相对布局信息。可选的,当该用户向该电子设备输入该多个器件版图的相对布局信息时,可以利用该电子设备提供的用于设置相对布局的容器组件来进行输入,比如,在该电子设备的图形用户界面中,该多个器件版图可以被展示为多个方形缩略图,容器组件可以位于主界面中,该容器组件可以包括用于实现至少两个器件版图的水平排列、垂直排列、阵列排列和环绕的多种容器组件,该用户只需选择对应的容器组件,并将需要设置相对布局关系的器件版图拖拽至该容器组件中的对应位置即可。需要说明的是,获取该相对布局信息的步骤与上述S301和S302可以部分先后顺序,该步骤只需在确定该多个器件版图的物理位置信息之前即可,本申请实施例以该步骤在S303为例进行说明。
当获取到该相对布局信息之后,该电子设备可以根据该相对布局信息对该多个器件版图进行布局,以得到不含走线的集成电路的版图,即得到该多个器件版图的物理位置信息。其中,该电子设备在对该多个器件版图进行布局时,除了根据该相对布局信息,还可以结合其他约束条件(比如,工艺约束条件中的最小面积约束和最小距离约束,不同器件版图之间的电流关系和距离关系等),通过自动综合的方式来确定该物理位置信息以对该多个器件版图进行布局。可选的,该电子设备可以自动综合该相对布局信息,以及最小面积约束和最小距离约束等约束条件中的一个或者多个,并在综合过程中不断调整不同器件版图(比如,底层金属层中的器件版图)的位置信息等,通过退火算法得到该多个器件版图的物理位置信息;根据该物理位置信息对该多个器件版图进行布局,以得到不含走线的集成电路的版图。
需要说明的是,该最小面积约束可以是指最终生成的集成电路的版图所要求的最小面积,即该集成电路的版图占用的面积最小为该最小面积约束所要求的面积,该集成电路的版图占用的面积不能小于该最小面积约束所要求的面积。该最小距离约束可以是指任意两个相邻的器件版图之间的最小距离,即相邻的两个器件版图之间的最小距离为该最小距离约束所要求的距离,该相邻的两个器件版图之间的距离不能小于该最小距离约束所要求的距离。不同器件版图之间的电流关系可以是指流过该不同器件版图的电流的大小关系,比如,流过某两个器件版图的电流相等。上述距离关系可以是指不同器件版图之间的距离关系,比如,该距离关系可用于指示某两个器件版图之间的最小距离或者最大距离等。
可选的,在获取该相对布局信息和该多个器件版图时,该电子设备还可以根据该相对布局信息和该多个器件版图先查询电路版图样本库,该电路版图样本库中存储有大量的集成电路的版图,该电路版图样本库中的集成电路的版图可以是通过收集不同用户已生成的 部分集成电路的版图或者完整的集成电路的版图得到的。具体的,对于该多个器件版图中与该相对布局信息关联的器件版图,可以根据对应关联的器件版图以及之间的相对布局信息查询该电路版图样本库,若该电路版图样本库中存在满足要求的版图,则可以将该版图确定为该关联的器件版图对应的版图。若该电路版图样本库中不存在满足要求的版图,则可以按照上述S303的描述生成对应的不含走线的集成电路的版图;进一步的,该电子设备还可以将生成的不含走线的集成电路的版图或者部分不含走线的集成电路的版图存储在该电路版图样本库中,以便于后续使用或者其他用户使用。
S304:根据该物理位置信息,生成该电路原理图对应的集成电路的版图。
当该电子设备得到按照该物理位置信息布局的不含走线的集成电路的版图时,该电子设备可以根据该电路原理图中的电路连接关系,在该不含走线的集成电路的版图中生成走线,以得到该电路原理图对应的集成电路的版图。其中,该电路连接关系可以由该电子设备从该电路原理图中的进行获取,该电路连接关系可以用于指示该多个器件版图的连接关系,比如,该电路连接关系可以包括多条连线。
进一步的,根据该电路连接关系,在该不含走线的集成电路的版图中生成走线之前,该方法还可以包括:获取至少一条走线的布线信息,该布线信息可以包括布线路径信息、以及布线宽度约束信息等,该至少一条走线可以为影响该集成电路的工作指标(比如,该工作指标可以包括但不限于频率、相位噪声、幅值的波动大小、功耗和带宽等中的一个或者多个)的关键走线。其中,该至少一条走线可以是由用户确定,该至少一条走线的布线信息,也可以由用户输入;或者,该电子设备确定该至少一条走线,比如,该电子设备可以根据预设条件和该电路连接关系确定该至少一条走线。在获取到该至少一条走线的布线信息之后,该电子设备可以根据该布线信息在该不含走线的集成电路的版图中生成该至少一条走线,同时根据该电路连接关系生成除该至少一条走线之外的其他走线,比如,利用算法分析出该其他走线的走线路径和跳层等并进行布线,以即得到该电路原理图对应的集成电路的版图。
其中,在生成该至少一条关键走线和该其他走线时,该电子设备可以综合考虑芯片代工厂对于走线的不同要求(比如,诸如某一走线最大承载20mA的电流要求等)、以及版图设计规则等对于走线的限制等,以保证生成的版图中的走线能够满足特定的要求。另外,在生成该至少一条关键走线和该其他走线的过程中,若存在一条或者多条走线穿过(via)不同金属层,该电子设备还可以通过在不同金属层之间打孔来实现该一条或者多条走线的部署。
为便于理解,下面结合图4所示的数字控制振荡器为例,对本申请实施例提供的方法进行举例说明,该数字控制振荡器包括依次并联的电感、可调电容模块和负阻模块。具体的,该电子设备上安装有EDA软件,该EDA软件可以包括模型器件库、样本设计库(可以包括上述器件版图库和电路版图库)、布局规划(floor plan)设计器和路径(routing)引擎,如图7所示,在生成该数字控制振荡器对应的集成电路的版图时,该方法可以包括:布局规划设计器获取电感、可调电容模块和负阻模块中每个模块的参数信息,比如,工艺参数信息可以为TSMC28,电感的电气参数信息为宽度和长度,可调电容模块的电气参数信息为可调电容的数量、以及单个可调电容的宽度和长度,负阻模块的电气参数信息为晶体管的行数和列数、以及晶体管的沟道的宽度和长度;布局规划设计器获取电感、可调电 容模块和负阻模块中每个模块对应的模型器件,并根据上述参数信息将其例化为三个器件版图,即电感器件版图、可调电容模块器件版图和负阻模块器件版图(可选的,可以通过查询样本设计库,当该样本设计库中存在该三个器件版图中的一个或者多个时可以从该样本设计库中获取);布局规划设计器获取该三个器件版图的相对布局信息,并根据该相对布局信息和工艺约束信息确定该多个器件版图的物理位置信息,比如,确定每个器件版图所在的金属层、以及该器件版图在金属层中的坐标位置等,还可以根据该物理位置信息生成不含走线的集成电路的版图;路径引擎根据该物理位置信息和走线约束,生成该数字控制振荡器对应的集成电路的版图,其中该版图中的关键走线的路径和宽度可以是由用户给定的,比如,作为关键走线的主通路(图4中表示为粗线)走线可以由用户手动约束、除该关键走线之外的非关键走线可以由路径引擎字段规划,若走线需要打孔可以通过内置的打孔引擎实现)。其中,最后生成的该数字振荡器对应的集成电路的版图可以如图8所示,图8所示的集成电路的版图中的走线和不同模的版图块仅为示例性,且该集成电路中的可调电容模块和负阻模块以电路原理图中的具体结构为例进行说明。
在本申请实施例中,该电子设备可以在获取电路原理图包括的多个基本元器件的参数信息后,根据该参数信息将该多个基本元器件对应的模型器件例化为多个器件版图,并基于接收到的该多个器件版图的相对布局信息,确定该多个器件版图的物理位置信息,之后根据该物理位置信息生成该电路原理图对应的集成电路的版图。由于该多个基本元器件对应的模型器件是分别对该多个基本元器件进行统一封装处理得到的,屏蔽了不同工艺、不同芯片代工厂之间的差异,且能够提供统一的输入输出接口,用户可以根据实际需求输入多个器件版图的相对布局信息、以及影响集成电路的工作指标的关键走线的布线信息,从而在实现集成电路的版图的自动化设计的同时,能够使版图设计人员更专注于整体布局,而无需花费大量的时间在手工布局布线、DRC或者LVS的修正上,从而解决版图设计人工耗时长的问题。此外,在集成电路的版图设计过程中,还可以复用之前已生成的器件版图或集成电路的版图,从而可以进一步提高设计的复用率。
上述主要从电子设备的角度对本申请实施例提供的方案进行了介绍,可以理解的是,电子设备为了实现上述功能,其包含了执行各个功能相应的硬件结构和/或软件模块。本领域技术人员应该很容易意识到,结合本文中所公开的实施例描述的各示例的单元及算法步骤,本申请能够以硬件或硬件和计算机软件的结合形式来实现。某个功能究竟以硬件还是计算机软件驱动硬件的方式来执行,取决于技术方案的特定应用和设计约束条件。专业技术人员可以对每个特定的应用来使用不同方法来实现所描述的功能,但是这种实现不应认为超出本申请的范围。
本申请实施例可以根据上述方法示例对集成电路的版图生成装置进行功能模块的划分,例如,可以对应各个功能划分各个功能模块,也可以将两个或两个以上的功能集成在一个处理模块中。上述功能模块既可以采用硬件的形式实现,也可以采用软件功能模块的形式实现。需要说明的是,本申请实施例中对模块的划分是示意性的,仅仅为一种逻辑功能划分,实际实现时可以有另外的划分方式。
在采用对应各个功能划分各个功能模块的情况下,图9示出了上述实施例中所涉及的集成电路的版图生成装置的一种可能的结构示意图,该装置可以为电子设备或者应用于电子设备中的芯片。该装置包括:获取单元401和处理单元402。其中,获取单元401用于 支持该装置执行上述方法实施例中的S301、S303中获取相对布局信息、以及本文所描述的获取至少一条关键走线的布线信息的步骤;处理单元402用于支持该装置执行上述方法实施例中的S302、S303中确定多个器件版图的物理位置信息、S304、以及本文所描述的其他技术过程等。
在采用硬件实现的基础上,上述处理单元402可以为处理器,获取单元401可以为接收器,接收器和发送器可以集成为收发器,该收发器也可以称为通信接口。
图10为本申请实施例所涉及的集成电路的版图生成装置的结构示意图,该装置可以为电子设备或者应用于电子设备中的芯片。该装置包括:通信接口413和处理器412。其中,处理器412用于对该装置的动作进行控制管理,例如,处理器412可用于支持该装置执行上述方法实施例中的S301-S304,和/或用于本文所描述的技术的其他过程;通信接口413可用于支持该装置进行通信。可选的,该装置还可以包括存储器411,存储器411用于存储该装置的程序代码和数据。
其中,处理器412可以是中央处理器单元,通用处理器,数字信号处理器,专用集成电路,处理芯片、现场可编程门阵列或者其他可编程逻辑器件,晶体管逻辑器件,硬件部件或者其任意组合。其可以实现或执行结合本申请实施例公开内容所描述的各种例如逻辑方框,模块和电路。处理器412也可以是实现计算功能的组合,例如包含一个或多个微处理器组合,数字信号处理器和微处理器的组合等等。通信接口413可以是收发器、收发电路或收发接口等。存储器411可以是易失性存储器或者非易失性存储器等。
例如,通信接口413、处理器412以及存储器411通过总线414相互连接,总线414可以是外设部件互连标准(peripheral component interconnect,PCI)总线或扩展工业标准结构(extended industry standard architecture,EISA)总线等。总线414可以分为地址总线、数据总线、控制总线等。为便于表示,图10中仅用一条粗线表示,但并不表示仅有一根总线或一种类型的总线。
需要说明的是,上述方法实施例中的所有内容均可对应援引到该装置实施例中,本申请实施例在此不再赘述。
在本申请所提供的几个实施例中,应该理解到,所揭露的装置和方法,可以通过其它的方式实现。例如,以上所描述的装置实施例仅仅是示意性的,例如,所述模块或单元的划分,仅仅为一种逻辑功能划分,实际实现时可以有另外的划分方式,例如多个单元或组件可以结合或者可以集成到另一个装置,或一些特征可以忽略,或不执行。
所述作为分离部件说明的单元可以是或者也可以不是物理上分开的,作为单元显示的部件可以是一个物理单元或多个物理单元,即可以位于一个地方,或者也可以分布到多个不同地方。可以根据实际的需要选择其中的部分或者全部单元来实现本实施例方案的目的。
另外,在本申请各个实施例中的各功能单元可以集成在一个处理单元中,也可以是各个单元单独物理存在,也可以两个或两个以上单元集成在一个单元中。上述集成的单元既可以采用硬件的形式实现,也可以采用软件功能单元的形式实现。
最后应说明的是:以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何在本申请揭露的技术范围内的变化或替换,都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应以所述权利要求的保护范围为准。

Claims (25)

  1. 一种集成电路的版图生成方法,其特征在于,所述方法包括:
    获取电路原理图包括的多个基本元器件的参数信息;
    根据所述参数信息确定所述多个基本元器件对应的多个器件版图;
    获取所述多个器件版图的相对布局信息,并根据所述相对布局信息和所述多个器件版图,确定所述多个器件版图的物理位置信息;
    根据所述物理位置信息,生成所述电路原理图对应的集成电路的版图。
  2. 根据权利要求1所述的方法,其特征在于,所述根据所述参数信息确定所述多个基本元器件对应的多个器件版图,包括:
    对于所述多个基本元器件中的第一基本元器件,根据所述第一基本元器件的参数信息,将所述第一基本元器件对应的模型器件例化为一个器件版图,所述第一基本元器件为所述多个基本元器件中的任意一个基本元器件。
  3. 根据权利要求2所述的方法,其特征在于,所述方法还包括:
    根据所述第一基本元器件,从预设模型器件库中获取所述第一基本元器件对应的模型器件,所述预设模型器件库包括多个模型器件,所述多个模型器件是分别对多个不同的基本单元进行统一封装处理得到的,所述多个不同的基本单元包括所述第一基本元器件。
  4. 根据权利要求1-3任一项所述的方法,其特征在于,所述根据所述参数信息确定所述多个基本元器件对应的多个器件版图,包括:
    对于所述多个基本元器件中的第二基本元器件,根据所述第二基本元器件的参数信息,从器件版图库中获取所述第二基本元器件的器件版图,所述器件版图库包括至少一个基本单元中的每个基本单元在至少一组参数信息下的器件版图,所述第二基本元器件为所述多个基本元器件中的任意一个基本元器件,所述至少一个基本单元包括所述第二基本元器件。
  5. 根据权利要求1-4任一项所述的方法,其特征在于,所述根据所述相对布局信息和所述多个器件版图,确定所述多个器件版图的物理位置信息,包括:
    根据所述相对布局信息和所述多个器件版图的尺寸,对所述多个器件版图进行布局,以得到所述多个器件版图的物理位置信息,所述物理位置信息可用于生成不含走线的集成电路的版图。
  6. 根据权利要求5所述的方法,其特征在于,所述根据所述相对布局信息和所述多个器件版图的尺寸,对所述多个器件版图进行布局,包括:
    根据所述相对布局信息、所述多个器件版图的尺寸和至少一种约束条件,对所述多个器件版图进行布局,所述至少一种约束条件包括以下条件中的一个或者多个:最小面积约束、最小距离约束、不同器件版图之间的电流关系或距离关系。
  7. 根据权利要求1-6任一项所述的方法,其特征在于,所述根据所述物理位置信息,生成所述电路原理图对应的集成电路的版图,包括:
    根据所述电路原理图中的电路连接关系,在所述物理位置信息对应的不含走线的集成电路版图中进行布线,以生成所述电路原理图对应的集成电路的版图。
  8. 根据权利要求7所述的方法,其特征在于,所述根据所述电路原理图中的电路连接 关系,在所述物理位置信息所对应的不含走线的集成电路的版图进行布线,包括:
    获取至少一条走线的布线信息,所述至少一条走线为所述电路原理图对应的集成电路的版图中的走线;
    根据所述电路原理图中的电路连接关系和所述至少一条走线的布线信息,在所述物理位置信息对应的不含走线的集成电路版图中进行布线。
  9. 根据权利要求1-8任一项所述的方法,其特征在于,所述相对布局信息包括所述多个器件版图中至少两个器件版图在同一金属层中的投影之间的相对位置信息。
  10. 根据权利要求1-9任一项所述的方法,其特征在于,所述相对布局信息还包括所述多个器件版图中至少两个器件版图所在的金属层之间的相对层级信息。
  11. 根据权利要求1-10任一项所述的方法,其特征在于,所述参数信息包括工艺参数信息和电气参数信息。
  12. 一种集成电路的版图生成装置,其特征在于,所述装置包括:
    获取单元,用于获取电路原理图包括的多个基本元器件的参数信息;
    处理单元,用于根据所述参数信息确定所述多个基本元器件对应的多个器件版图;
    所述获取单元,还用于获取所述多个器件版图的相对布局信息;
    所述处理单元,还用于根据所述相对布局信息和所述多个器件版图,确定所述多个器件版图的物理位置信息;
    所述处理单元,还用于根据所述物理位置信息,生成所述电路原理图对应的集成电路的版图。
  13. 根据权利要求12所述的装置,其特征在于,所述处理单元还用于:
    对于所述多个基本元器件中的第一基本元器件,根据所述第一基本元器件的参数信息,将所述第一基本元器件对应的模型器件例化为一个器件版图,所述第一基本元器件为所述多个基本元器件中的任意一个基本元器件。
  14. 根据权利要求13所述的装置,其特征在于,所述处理单元还用于:
    根据所述第一基本元器件,从预设模型器件库中获取所述第一基本元器件对应的模型器件,所述预设模型器件库包括多个模型器件,所述多个模型器件是分别对多个不同的基本单元进行统一封装处理得到的,所述多个不同的基本单元包括所述第一基本元器件。
  15. 根据权利要求12-14任一项所述的装置,其特征在于,所述处理单元还用于:
    对于所述多个基本元器件中的第二基本元器件,根据所述第二基本元器件的参数信息,从器件版图库中获取所述第二基本元器件的器件版图,所述器件版图库包括至少一个基本单元中的每个基本单元在至少一组参数信息下的器件版图,所述第二基本元器件为所述多个基本元器件中的任意一个基本元器件,所述至少一个基本单元包括所述第二基本元器件。
  16. 根据权利要求12-15任一项所述的装置,其特征在于,所述处理单元还用于:
    根据所述相对布局信息和所述多个器件版图的尺寸,对所述多个器件版图进行布局,以得到所述多个器件版图的物理位置信息,所述物理位置信息可用于生成不含走线的集成电路的版图。
  17. 根据权利要求16所述的装置,其特征在于,所述处理单元还用于:
    根据所述相对布局信息、所述多个器件版图的尺寸和至少一种约束条件,对所述多个 器件版图进行布局,所述至少一种约束条件包括以下条件中的一个或者多个:最小面积约束、最小距离约束、不同器件版图之间的电流关系或距离关系。
  18. 根据权利要求12-17任一项所述的装置,其特征在于,所述处理单元还用于:
    根据所述电路原理图中的电路连接关系,在所述物理位置信息对应的不含走线的集成电路版图中进行布线,以生成所述电路原理图对应的集成电路的版图。
  19. 根据权利要求18所述的装置,其特征在于,
    所述处理单元,还用于获取至少一条走线的布线信息,所述至少一条走线为所述电路原理图对应的集成电路的版图中的走线;
    所述处理单元,还用于根据所述电路原理图中的电路连接关系和所述至少一条走线的布线信息,在所述物理位置信息对应的不含走线的集成电路版图中进行布线。
  20. 根据权利要求12-19任一项所述的装置,其特征在于,所述相对布局信息包括所述多个器件版图中至少两个器件版图在同一金属层中的投影之间的相对位置信息。
  21. 根据权利要求12-20任一项所述的装置,其特征在于,所述相对布局信息还包括所述多个器件版图中至少两个器件版图所在的金属层之间的相对层级信息。
  22. 根据权利要求12-21任一项所述的装置,其特征在于,所述参数信息包括工艺参数信息和电气参数信息。
  23. 一种集成电路的版图生成装置,其特征在于,所述装置包括处理器和存储器,所述存储器中存储有指令,所述处理器运行所述存储器中的指令,以使所述装置执行如权利要求1-11任一项所述的集成电路的版图生成方法。
  24. 一种计算机可读存储介质,其特征在于,所述计算机可读存储介质中存储有指令,当所述指令在设备上运行时,使得所述设备执行如权利要求1-11任一项所述的集成电路的版图生成方法。
  25. 一种计算机程序产品,其特征在于,当所述计算机程序产品在设备上运行时,使得所述设备执行如权利要求1-11任一项所述的集成电路的版图生成方法。
CN202180097956.6A 2021-06-23 2021-06-23 一种集成电路的版图生成方法及装置 Pending CN117321601A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2021/101916 WO2022266906A1 (zh) 2021-06-23 2021-06-23 一种集成电路的版图生成方法及装置

Publications (1)

Publication Number Publication Date
CN117321601A true CN117321601A (zh) 2023-12-29

Family

ID=84545064

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180097956.6A Pending CN117321601A (zh) 2021-06-23 2021-06-23 一种集成电路的版图生成方法及装置

Country Status (2)

Country Link
CN (1) CN117321601A (zh)
WO (1) WO2022266906A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116050336B (zh) * 2023-03-31 2023-07-25 北京芯愿景软件技术股份有限公司 一种集成电路版图的生成方法及装置
CN116736075B (zh) * 2023-05-09 2024-04-19 珠海妙存科技有限公司 晶体管的匹配精度检测方法、控制器及存储介质
CN116402007B (zh) * 2023-06-08 2023-09-01 牛芯半导体(深圳)有限公司 版图移植方法、装置、终端以及介质
CN116757145B (zh) * 2023-08-16 2024-04-30 合肥奎芯集成电路设计有限公司 集成电路设计版图处理方法、装置、电子设备及存储介质
CN116756049B (zh) * 2023-08-17 2023-11-07 上海燧原科技有限公司 芯片的通用验证方法、装置、电子设备及存储介质
CN117057303B (zh) * 2023-10-07 2024-01-26 全芯智造技术有限公司 版图图形生成方法、设备和介质
CN117077611B (zh) * 2023-10-13 2024-01-23 青岛展诚科技有限公司 一种集成电路版图图形切割方法
CN117077610B (zh) * 2023-10-13 2024-01-23 青岛展诚科技有限公司 用于集成电路的螺旋电感自动生成方法
CN117391038B (zh) * 2023-10-23 2024-05-14 北京市合芯数字科技有限公司 芯片版图的金属栈空间信息划分方法及芯片
CN117272916B (zh) * 2023-11-21 2024-04-19 杭州广立微电子股份有限公司 一种版图自动生成方法、装置、设备和可读存储介质
CN117272910B (zh) * 2023-11-22 2024-02-23 江山季丰电子科技有限公司 一种集成电路老化电路板的模块化设计方法及装置
CN117371387B (zh) * 2023-12-08 2024-02-13 浙江集迈科微电子有限公司 集成电路器件版图参数化构建方法装置、存储介质和终端

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8286119B2 (en) * 2009-01-30 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Systematic method for variable layout shrink
CN104933214B (zh) * 2014-03-21 2019-03-15 台湾积体电路制造股份有限公司 集成电路设计方法和装置
CN104915528B (zh) * 2015-07-15 2018-07-03 中国科学院微电子研究所 集成电路的版图设计方法、版图设计系统和制作方法
CN109992808B (zh) * 2017-12-30 2023-06-02 杭州广立微电子股份有限公司 一种快速生成参数化单元的方法
US10860777B1 (en) * 2019-06-17 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for fabricating integrated circuit with aid of programmable circuit synthesis

Also Published As

Publication number Publication date
WO2022266906A1 (zh) 2022-12-29

Similar Documents

Publication Publication Date Title
CN117321601A (zh) 一种集成电路的版图生成方法及装置
US7448003B2 (en) Signal flow driven circuit analysis and partitioning technique
Castro-Lopez et al. An integrated layout-synthesis approach for analog ICs
US7917877B2 (en) System and method for circuit schematic generation
US5930499A (en) Method for mixed placement of structured and non-structured circuit elements
US8656329B1 (en) System and method for implementing power integrity topology adapted for parametrically integrated environment
EP1132745A2 (en) Electromagnetic interference analysis method and apparatus
US6735742B2 (en) Method for optimizing a cell layout using parameterizable cells and cell configuration data
US7206731B2 (en) Electromagnetic/circuit co-simulation and co-optimization with parametric layout components
CN105631087B (zh) 用于集成电路布局生成的方法、器件和计算机程序产品
US20200380188A1 (en) Automated circuit generation
Lourenço et al. GENOM-POF: multi-objective evolutionary synthesis of analog ICs with corners validation
US8806413B2 (en) Gradient AOCV methodology enabling graph-based timing closure with AOCV timing models
Yilmaz et al. Analog layout generator for CMOS circuits
US8521483B1 (en) Method and apparatus for concurrent design of modules across different design entry tools targeted to single simulation
US20190042684A1 (en) Schematic Driven Analog Circuit Layout Automation
US7689964B2 (en) System and method for routing connections
US8650518B2 (en) Method and apparatus for rule-based automatic layout parasitic extraction in a multi-technology environment
CN111428435B (zh) 一种集成电路版图功耗优化方法及装置
US7398499B2 (en) Method of searching paths suffering from the electrostatic discharge in the process of an integrated circuit design
Martins et al. Analog Integrated Circuit Design Automation
CN106682268B (zh) 可编程逻辑器件配置方法及设备
Singh et al. Partition-based algorithm for power grid design using locality
CN109214023B (zh) 一种工艺设计工具包的测试方法及装置
EP1436738A2 (en) Clock skew verification methodology for grid-based design

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination