CN117234835A - 存储系统 - Google Patents

存储系统 Download PDF

Info

Publication number
CN117234835A
CN117234835A CN202210645145.1A CN202210645145A CN117234835A CN 117234835 A CN117234835 A CN 117234835A CN 202210645145 A CN202210645145 A CN 202210645145A CN 117234835 A CN117234835 A CN 117234835A
Authority
CN
China
Prior art keywords
temperature
code
memory
chip
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210645145.1A
Other languages
English (en)
Inventor
程伟杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Changxin Memory Technologies Inc
Original Assignee
Changxin Memory Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Changxin Memory Technologies Inc filed Critical Changxin Memory Technologies Inc
Priority to CN202210645145.1A priority Critical patent/CN117234835A/zh
Priority to PCT/CN2022/100924 priority patent/WO2023236258A1/zh
Priority to US18/151,436 priority patent/US20230401136A1/en
Publication of CN117234835A publication Critical patent/CN117234835A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K13/00Thermometers specially adapted for specific purposes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/30Monitoring
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/406Management or control of the refreshing or charge-regeneration cycles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Dram (AREA)

Abstract

本公开实施例提供一种存储系统,包括:基础芯片以及多个堆叠的存储芯片,存储芯片包括多个存储单元;存储系统具有多个通道,每一通道包括所有存储芯片中的部分数量的存储单元,每一通道的部分区域与一存储芯片对应,且每一通道均与基础芯片电连接;温度处理模块,被配置为,获取多个与每一存储芯片对应的第一温度代码,获取表征基础芯片的温度的第二温度代码,并比较多个第一温度代码与第二温度代码,输出高温表征代码,其中,第一温度代码表征相应的存储芯片对应的所有通道的部分区域中的温度最大值,高温表征代码为第一温度代码与第二温度代码中表征温度更高的一者。本公开实施例至少有利于监控存储系统中的温度。

Description

存储系统
技术领域
本公开实施例涉及半导体技术领域,特别涉及一种存储系统。
背景技术
越来越多的应用要求集成度更高的存储系统,为了满足这种需要,可以在集成电路封装内放置一个以上的芯片。多芯片封装可以包括沿着同一平面横向布置的多个芯片,或者可以包括彼此堆叠的多个芯片。HBM(高带宽内存,High Bandwidth Memory)是一款新型的CPU/GPU内存芯片,即将很多个芯片堆叠在一起,实现大容量,高位宽的DDR组合阵列。
然而,HBM堆叠体通常与同一封装中的主逻辑芯片或某些其他加速器芯片非常靠近,并且因此具有独特的热约束。当HBM堆叠体的工作温度超过某个阈值时,由于与时序有关的问题,HBM堆叠体的性能将受到影响。随着温度升高,时序裕度将趋于降低,这导致存储错误增加,并且随着应用程序继续推动更高的带宽操作,存储错误量只会加剧,从而增加了高温时序冲突的风险。
发明内容
本公开实施例提供一种存储系统,至少有利于监控存储系统中的温度。
本公开实施例提供一种存储系统,包括:基础芯片以及多个堆叠的存储芯片,所述存储芯片包括多个存储单元;所述存储系统具有多个通道,每一所述通道包括所有所述存储芯片中的部分数量的所述存储单元,每一所述通道的部分区域与一所述存储芯片对应,且每一所述通道均与所述基础芯片电连接;温度处理模块,被配置为,获取多个与每一所述存储芯片对应的第一温度代码,获取表征所述基础芯片的温度的第二温度代码,并比较多个所述第一温度代码与所述第二温度代码,输出高温表征代码,其中,所述第一温度代码表征相应的所述存储芯片对应的所有所述通道的部分区域中的温度最大值,所述高温表征代码为所述第一温度代码与所述第二温度代码中表征温度更高的一者。
在一些实施例中,所述温度处理模块包括:多个第一获取模块,每一所述第一获取模块与一所述存储芯片相对应,每一所述第一获取模块被配置为,获取并输出与每一所述存储芯片对应的第一温度代码;第二获取模块,所述第二获取模块被配置为,获取并输出表征所述基础芯片的温度的所述第二温度代码;比较模块,被配置为,获取所述第二温度代码并逐次获取多个所述第一获取模块输出的多个所述第一温度代码,并比较每一所述第一温度代码与参考温度代码,输出高温表征代码,所述高温表征代码为所述第一温度代码与所述参考温度代码中表征温度更高的一者,其中,所述参考温度代码为所述比较模块前一次获取的温度代码与后一次获取的温度代码中表征温度更高的一者,所述温度代码为所述第一温度代码或者所述第二温度代码。
在一些实施例中,每一所述第一获取模块包括:多个第一温度传感器,每一所述第一温度传感器用于检测所述存储芯片中各所述通道的温度;多个第一寄存器,每一所述第一寄存器与相应的所述第一温度传感器连接,用于存储表征所述通道的温度的代码值;第一比较器,与所述比较模块连接,被配置为,比较多个所述第一寄存器存储的所述代码值,并输出所述第一温度代码。
在一些实施例中,所述第一寄存器包括:第一类寄存器,用于存储表征所述通道的温度的第一代码值,所述第一代码值的比特位数为2位;第二类寄存器,用于存储表征所述通道的温度的第二代码值,所述第二代码值的比特位数为8位。
在一些实施例中,所述第二获取模块包括:第二温度传感器,与所述比较模块连接,所述第二温度传感器用于检测所述基础芯片的温度。
在一些实施例中,所述比较模块包括:第一存储单元,被配置为,获取并存储所述第二温度代码,或者,响应于触发信号依次获取并存储所述第一温度代码;第二存储单元,被配置为,存储参考温度代码,且在初始状态下所述参考温度代码为所述第二温度代码;比较单元,被配置为,比较所述第一存储单元中的所述第一温度代码以及所述参考温度代码,或者,比较所述第一存储单元中的所述第二温度代码以及所述参考温度代码,并更新所述第二存储单元中的所述参考温度代码,更新后的所述参考温度代码为所述第一存储单元前一次获取的所述温度代码与后一次获取的所述温度代码中表征温度更高的一者。
在一些实施例中,所述第一存储单元包括:移位寄存器以及第二寄存器,所述移位寄存器被配置为,接收多个所述第一温度代码,并响应于触发信号逐次向所述第二寄存器传输所述第一温度代码,且每次向所述第二寄存器传输一个所述第一温度代码;所述第二寄存器被配置为,接收所述移位寄存器传输的所述第一温度代码,或者,接收所述第二温度代码。
在一些实施例中,所述移位寄存器包括:多个第三寄存器,每一所述第三寄存器与相应的所述第一获取模块对应,每一所述第三寄存器被配置为,获取所述第一温度代码,并响应于所述触发信号向所述第二寄存器传输所述第一温度代码。
在一些实施例中,所述存储系统还包括:反馈模块,被配置为,若任一所述存储芯片的温度最大值大于或等于第一触发温度,或者,若所述基础芯片的温度大于或等于第二触发温度,则输出高温表征信号。
在一些实施例中,所述反馈模块包括:输出端口,所述输出端口用于输出所述高温表征信号;多个第一反馈单元,每一所述第一反馈单元均与所述输出端口连接且与相应的所述第一获取模块对应,每一所述第一反馈单元被配置为,若所述存储芯片的所述温度最大值大于或等于所述第一触发温度,则导通电源端口与所述输出端口之间的传输路径;第二反馈单元,与所述输出端口连接,被配置为,若所述基础芯片的所述温度大于或等于所述第二触发温度,则导通所述电源端口与所述输出端口之间的传输路径。
在一些实施例中,所述第一反馈单元包括:第一逻辑电路,与所述第一获取模块连接;第一开关电路,所述第一开关电路的第一端以及第二端分别连接所述电源端口以及所述输出端口,所述第一开关电路的第一控制端与所述第一逻辑电路连接,若所述温度最大值大于或等于所述第一触发温度,则所述第一逻辑电路向所述第一控制端输出第一控制信号,以使所述第一端与所述第二端之间导通。
在一些实施例中,所述第一逻辑电路包括:第一或门电路,与所述第一获取模块连接,若所述温度最大值大于或等于所述第一触发温度,则所述第一或门电路输出高电平信号;第一反相器,与所述第一或门电路的输出连接;所述第一开关电路包括:第一PMOS管,所述第一PMOS管的栅极为所述第一控制端,源极为所述第一端,漏极为所述第二端。
在一些实施例中,所述第二端经由至少一个电阻与所述输出端口连接。
在一些实施例中,所述第二反馈单元包括:第二逻辑电路,与所述第二获取模块连接;第二开关电路,所述第二开关电路的第三端以及第四端分别连接所述电源端口以及所述输出端口,所述第二开关电路的第二控制端与所述第二逻辑电路连接,若所述基础芯片的温度大于或等于所述第二触发温度,则所述第二逻辑电路向所述第二开关电路的第二控制端输出第二控制信号,以使所述第三端与所述第四端之间导通。
在一些实施例中,所述第二逻辑电路包括:第二反相器,与所述第二获取模块连接,若所述基础芯片的温度大于或等于所述第二触发温度,则所述第二反相器输出低电平信号;所述第二开关电路包括:第二PMOS管,所述第二PMOS管的栅极为所述第二控制端,源极为所述第三端,漏极为所述第四端。
在一些实施例中,所述存储系统还包括:控制模块,被配置为,基于所述反馈模块输出的所述高温表征信号,调整与所述通道的部分区域对应的所述存储芯片存取数据的频率。
本公开实施例提供的技术方案至少具有以下优点:
每一第一温度代码与一存储芯片对应,获取一第一温度代码即获取与其对应的存储芯片中的所有通道的温度中的最大值,便于后续人工或者机器对该存储芯片的温度进行检测以及调控;获取第二温度代码表征基础芯片的温度,便于后续人工或者机器对基础芯片的温度进行检测以及调控。此外,比较多个第一温度代码与第二温度代码,并输出获取的温度代码中表征温度更高的一者,以获取存储系统中所有通道中温度最高区域对应的温度代码,便于后续人工或者机器对存储系统整体的温度进行检测以及调控,以降低存储系统中发生高温时序冲突的风险。
附图说明
一个或多个实施例通过与之对应的附图中的图片进行示例性说明,这些示例性说明并不构成对实施例的限定,附图中具有相同参考数字标号的元件表示为类似的元件,除非有特别申明,附图中的图不构成比例限制;为了更清楚地说明本公开实施例或传统技术中的技术方案,下面将对实施例中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本公开的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1和图2为本公开实施例提供的存储系统的结构示意图;
图3至图5为本公开实施例提供的存储系统的三种功能模块示意图;
图6为图5提供的存储系统中第一获取模块的功能模块示意图;
图7为图1提供的存储系统中存储芯片的结构示意图;
图8至图10为本公开实施例提供的存储系统的另外三种功能模块示意图;
图11为本公开实施例提供的存储系统的工作时序图。
具体实施方式
由背景技术可知,存储系统的温度有待监控。
本公开实施例提供一种存储系统,温度处理模块用于获取各个存储芯片中的所有通道的温度中的最大值,并将该最大值转换为第一温度代码以输出,便于后续人工或者机器对该存储芯片的温度进行检测以及调控;温度处理模块还用于获取基础芯片的温度,并将该温度转换为第二温度代码以输出,便于后续人工或者机器对基础芯片的温度进行检测以及调控。而且,温度处理模块还,并输出获取的温度代码中表征温度更高的一者,以获取存储系统中所有通道中温度最高区域对应的温度代码,便于后续人工或者机器对存储系统整体的温度进行检测以及调控,以降低存储系统中发生高温时序冲突的风险。
下面将结合附图对本公开的各实施例进行详细的阐述。然而,本领域的普通技术人员可以理解,在本公开各实施例中,为了使读者更好地理解本公开实施例而提出了许多技术细节。但是,即使没有这些技术细节和基于以下各实施例的种种变化和修改,也可以实现本公开实施例所要求保护的技术方案。
本公开实施例提供一种存储系统,以下将结合附图对本公开实施例提供的存储系统进行详细说明。图1和图2为本公开实施例提供的存储系统的结构示意图;图3至图5为本公开实施例提供的存储系统的三种功能模块示意图;图6为图5提供的存储系统中第一获取模块的功能模块示意图;图7为图1提供的存储系统中存储芯片的结构示意图;图8至图10为本公开实施例提供的存储系统的另外三种功能模块示意图;图11为本公开实施例提供的存储系统的工作时序图。
参考图1至图4,存储系统包括:基础芯片100以及多个堆叠的存储芯片101,存储芯片101包括多个存储单元(图中未示出);存储系统具有多个通道111,每一通道111包括所有存储芯片101中的部分数量的存储单元,每一通道111的部分区域与一存储芯片101对应,且每一通道111均与基础芯片100电连接;温度处理模块110,被配置为,获取多个与每一存储芯片101对应的第一温度代码Maxtemp,获取表征基础芯片100的温度的第二温度代码Temp,并比较多个第一温度代码Maxtemp与第二温度代码Temp,输出高温表征代码MaxOuttp,其中,第一温度代码Maxtemp表征相应的存储芯片101对应的所有通道111的部分区域中的温度最大值,高温表征代码MaxOuttp为第一温度代码Maxtemp与第二温度代码Temp中表征温度更高的一者。
如此,有利于获取存储系统中所有通道111中温度最高区域对应的温度代码,便于后续人工或者机器对存储系统整体的温度进行检测以及调控,以降低存储系统中发生高温时序冲突的风险。
在一些实施例中,参考图4,温度处理模块110可以包括:多个第一获取模块102,每一第一获取模块102与一存储芯片101相对应,每一第一获取模块102被配置为,获取并输出与每一存储芯片101对应的第一温度代码Maxtemp;第二获取模块103,获取并输出表征基础芯片100的温度的第二温度代码Temp;比较模块104,被配置为,获取第二温度代码Temp并逐次获取多个第一获取模块102输出的多个第一温度代码Maxtemp,并比较每一第一温度代码Maxtemp与参考温度代码Reftemp,输出高温表征代码MaxOuttp,高温表征代码MaxOuttp为第一温度代码Maxtemp与参考温度代码Reftemp中表征温度更高的一者,其中,参考温度代码Reftemp为比较模块104前一次获取的温度代码与后一次获取的温度代码中表征温度更高的一者,温度代码为第一温度代码Maxtemp或者第二温度代码Temp。
可以理解的是,在某时间段需要对基础芯片100的温度进行监控时,比较模块104被配置为在该时间段获取第二温度代码Temp,并将该时间段获取的表征温度最高的第二温度代码Temp作为参考温度代码Reftemp。在一个例子中,且在存储系统的初始状态下,即存储系统中的存储芯片101还未开始存取数据进行工作时,参考温度代码Reftemp可以为第二温度代码Temp,后续即存储系统中的存储芯片101工作一段时间后,可以重新利用比较模块104获取此时位于基础芯片100中的通道111的部分区域的温度。在其他时间段需要对存储芯片101的温度进行监控时,比较模块104被配置为在该其他时间段逐次获取多个第一获取模块102输出的多个第一温度代码Maxtemp,并利用比较模块104每一第一温度代码Maxtemp与参考温度代码Reftemp,输出高温表征代码MaxOuttp,依据高温表征代码MaxOuttp来判断存储系统中的位于基础芯片100或者存储芯片101中的通道111的部分区域是否处于高温状态,以实现对存储系统中通道的温度的监控。
需要说明的是,图1中以在基础芯片100上一次堆叠8个存储芯片101,每一存储芯片101包括4个通道111的部分区域为示例,图2为图1中局部区域的结构示意图,图2中以存储系统包括4个通道111为示例,4个通道111依次为第一通道TS1、第二通道TS2、第三通道TS3以及第四通道TS4。在实际应用中,对在基础芯片100上堆叠的存储芯片101的数量不做限制,且对存储系统中包含的通道111的数量也不做限制。此外,对于任一通道111而言,均包括所有存储芯片101中的部分数量的存储单元,以利用通道111实现相邻存储芯片101之间的数据传输,即每一通道111的部分区域与一存储芯片101对应,由于不同的存储芯片101在相同时刻的工作状态不一定相同,因此对于某一通道111而言,位于不同存储芯片101中的该通道111的不同的部分区域的温度不一定相同,需要针对每一存储芯片101对应的通道111的部分区域的温度进行监控。
在一些实施例中,参考温度代码Reftemp可以是比较模块104接收的外部代码,即参考温度代码Reftemp由其他模块提供,在另一些实施例中,也可是比较模块104内部设置的代码。
在一些实施例中,参考图5,每一第一获取模块102可以包括:多个第一温度传感器112,每一第一温度传感器112用于检测存储芯片101中各通道111的温度;多个第一寄存器122,每一第一寄存器122与相应的第一温度传感器112连接,用于存储表征通道111的温度的代码值;第一比较器132,与比较模块104连接,被配置为,比较多个第一寄存器122存储的代码值,并输出第一温度代码Maxtemp。
可以理解的是,同一存储芯片101中,不同通道111包括的该存储芯片101中的存储单元处于不同的区域,即在同一时刻下,并不能确定存储芯片101中的哪些区域的存储单元正在进行存取数据的工作,哪些区域的存储单元处于非工作状态,因此,需要针对存储芯片101中的每一通道111设置与之相对应的第一温度传感器112,以对每一通道111所处的温度进行精确的监控。
此外,每一第一寄存器122与该存储芯片101中的一通道111对应,以存储表征相对应的通道111的温度的代码值,然后利用比较模块104比较该存储芯片101中的多个第一寄存器122存储的代码值,将其中表征的温度最高的代码值作为第一温度代码Maxtemp输出,使得第一温度代码Maxtemp表征相应的存储芯片101对应的所有通道111的部分区域中的温度最大值。
在一些实施例中,参考图6和图7,第一寄存器122(参考图5)可以包括:第一类寄存器142,用于存储表征通道111的温度的第一代码值temp<1:0>,第一代码值temp<1:0>的比特位数为2位;第二类寄存器152,用于存储表征通道111的温度的第二代码值temp<7:0>,第二代码值temp<7:0>的比特位数为8位。
可以理解的是,第一寄存器122存储的表征通道111的温度的代码值可包括两种,即第一代码值temp<1:0>和第二代码值temp<7:0>,因而后续输出的第一温度代码Maxtemp也可以包括两种,即Maxtemp<1:0>和Maxtemp<7:0>。其中,第一比较器132(参考图5)可以包括:第一类比较器162和第二类比较器172,第一类比较器162被配置为比较多个第一寄存器122存储的第一代码值temp<1:0>,并输出Maxtemp<1:0>,第二类比较器172被配置为比较多个第一寄存器122存储的第二代码值temp<7:0>,并输出Maxtemp<7:0>。
需要说明的是,第二获取模块103获取的与基础芯片100对应的第二温度代码Temp也可包括:表征位于基础芯片100中的通道111的部分区域的温度的第三代码值(图中未示出),第三代码值的比特位数为2位;表征位于基础芯片100中的通道111的部分区域的温度的第四代码值(图中未示出),第四代码值的比特位数为8位。
此外,参考温度代码Reftemp对应的也具有一个比特位数为2位的第一参考温度代码和比特位数为8位的第二参考温度代码,高温表征代码MaxOuttp对应的也具有一个比特位数为2位的第一高温表征代码和比特位数为8位的第二高温表征代码。比较模块104被配置为:比较某一存储芯片101中第一代码值temp<1:0>的最大值与第一参考温度代码以输出第一高温表征代码,其中,第一参考温度代码为比较模块104前一次获取的比特位数为2位的温度代码与后一次获取的比特位数为2位的温度代码中表征温度更高的一者,比特位数为2位的温度代码为第一温度代码Maxtemp<1:0>或者第三代码值,需要说明的是,某一存储芯片101中多个第一代码值temp<1:0>的最大者即为第一温度代码Maxtemp<1:0>。
此外,比较模块104还被配置为:比较某一存储芯片101中第二代码值temp<7:0>的最大值与第二参考温度代码以输出第二高温表征代码,其中,第二参考温度代码为比较模块104前一次获取的比特位数为8位的温度代码与后一次获取的比特位数为8位的温度代码中表征温度更高的一者,比特位数为8位的温度代码为第一温度代码Maxtemp<7:0>或者第四代码值,需要说明的是,某一存储芯片101中多个第二代码值temp<7:0>的最大者即为第一温度代码Maxtemp<7:0>。
需要说明的是,图7中以TS标示存储芯片101中的任一通道111,与任一通道111对应的第一代码值为temp<1:0>,与任一通道111对应的第二代码值为temp<7:0>,且图7中以存储芯片101包括4个通道111,即第一通道TS1、第二通道TS2、第三通道TS3以及第四通道TS4为示例,而且,与第一通道TS1对应的第一代码值为temp1<1:0>,与第一通道TS1对应的第二代码值为temp1<7:0>;与第二通道TS2对应的第一代码值为temp2<1:0>,与第二通道TS2对应的第二代码值为temp2<7:0>;与第三通道TS3对应的第一代码值为temp3<1:0>,与第三通道TS3对应的第二代码值为temp3<7:0>;与第四通道TS4对应的第一代码值为temp4<1:0>,与第四通道TS4对应的第二代码值为temp4<7:0>。
在一些实施例中,继续参考图5,第二获取模块103(参考图4)可以包括:第二温度传感器113,与比较模块104连接,第二温度传感器113用于检测基础芯片100的温度。如此,使得第二获取模块103在利用第二温度传感器113获取基础芯片100的温度之后,可以基于获取的基础芯片100的温度输出第二温度代码Temp。
在一些实施例中,结合参考图4和图8,比较模块104可以包括:第一存储单元114,被配置为,获取并存储第二温度代码Temp,或者,响应于触发信号CLK依次获取并存储第一温度代码Maxtemp;第二存储单元124,被配置为,存储参考温度代码Reftemp,且在初始状态下参考温度代码Reftemp为第二温度代码Temp;比较单元134,被配置为,比较第一存储单元114中的第一温度代码Maxtemp以及参考温度代码Reftemp,或者,比较第一存储单元114中的第二温度代码Temp以及参考温度代码Reftemp,并更新第二存储单元124中的参考温度代码Reftemp,更新后的参考温度代码Reftemp为第一存储单元114前一次获取的温度代码与后一次获取的温度代码中表征温度更高的一者。
可以理解的是,温度代码为第一温度代码Maxtemp或者第二温度代码Temp。在一个例子中,在存储系统的初始状态下,第一存储单元114获取并存储第二温度代码Temp后,将第二温度代码Temp传输给第二存储单元124,使得参考温度代码Reftemp为第二温度代码Temp。后续存储系统中的存储芯片101开始存取数据的工作,第一存储单元114开始响应于触发信号CLK依次获取并存储第一温度代码Maxtemp。例如,第一存储单元114响应于触发信号CLK的上升沿依次获取多个第一获取模块102输出的第一温度代码Maxtemp,即在每一个上升沿获取一个第一获取模块102输出的一个第一温度代码Maxtemp,并将该第一温度代码Maxtemp传输给比较单元134,使得比较单元134比较第一温度代码Maxtemp以及参考温度代码Reftemp,若此时第一温度代码Maxtemp表征的温度高于参考温度代码Reftemp,则将第二存储单元124中的参考温度代码Reftemp替换成此次比较单元134接收的第一温度代码Maxtemp,若此时第一温度代码Maxtemp表征的温度不高于参考温度代码Reftemp,则不对第二存储单元124中的参考温度代码Reftemp进行替换,以实现对第二存储单元124中的参考温度代码Reftemp的更新,保证更新后的参考温度代码Reftemp为第一存储单元114前一次获取的温度代码与后一次获取的温度代码中表征温度更高的一者。需要说明的是,实际应用中,第一存储单元114也可以响应于触发信号CLK的时钟下降沿依次获取多个第一获取模块102输出的第一温度代码Maxtemp,即在每一个时钟下降沿获取一个第一获取模块102输出的一个第一温度代码Maxtemp,并将该第一温度代码Maxtemp传输给比较单元134。
在一些实施例中,参考图4和图8,第一存储单元114可以包括:移位寄存器144以及第二寄存器154,移位寄存器144被配置为,接收多个第一温度代码Maxtemp,并响应于触发信号CLK逐次向第二寄存器154传输第一温度代码Maxtemp,且每次向第二寄存器154传输一个第一温度代码Maxtemp;第二寄存器154被配置为,接收移位寄存器144传输的第一温度代码Maxtemp,或者,接收第二温度代码Temp。如此,移位寄存器144用于实现第一存储单元114响应于触发信号CLK依次获取第一温度代码Maxtemp,第二寄存器154用于实现第一存储单元114响应于触发信号CLK依次存储第一温度代码Maxtemp,或者存储第二温度代码Temp。
在一些实施例中,继续参考图4和图8,移位寄存器144可以包括:多个第三寄存器164,每一第三寄存器164与相应的第一获取模块102对应,每一第三寄存器164被配置为,获取第一温度代码Maxtemp,并响应于触发信号CLK向第二寄存器154传输第一温度代码Maxtemp。可以理解的是,在触发信号CLK的一个上升沿,一个第三寄存器164用于获取并传输与该第三寄存器164对应的第一温度代码Maxtemp,比较单元134依据每次获取的第一温度代码Maxtemp与更新后的参考温度代码Reftemp,再次更新参考温度代码Reftemp,以确保参考温度代码Reftemp为目前获取的所有温度代码中表征温度最高的一者。
在一些实施例中,参考图9,存储系统还可以包括:反馈模块105,被配置为,若任一存储芯片101的温度最大值大于或等于第一触发温度,或者,若基础芯片100的温度大于或等于第二触发温度,则输出高温表征信号CATTRIP。在实际应用中,如果存储系统输出高温表征信号CATTRIP,则表征存储系统中至少一个存储芯片101或者基础芯片100中的至少一个通道111的温度大于预定温度,即第一触发温度或第二触发温度,则可以将存储系统视为热状态,后续可以对存储系统进行降温操作,避免存储系统发生时序冲突的风险。在一个例子中,第一触发温度和/或第二触发温度的范围可以为30℃~100℃,例如40℃、50℃、60℃、70℃、80℃、90℃或更高。
在一些实施例中,参考图8至图10,反馈模块105可以包括:输出端口115,输出端口115用于输出高温表征信号CATTRIP;多个第一反馈单元125,每一第一反馈单元125均与输出端口115连接且与相应的第一获取模块102对应,每一第一反馈单元125被配置为,若存储芯片101(参考图3)的温度最大值大于或等于第一触发温度,则导通电源端口VDD与输出端口115之间的传输路径;第二反馈单元135,与输出端口115连接,被配置为,若基础芯片100(参考图3)的温度大于或等于第二触发温度,则导通电源端口VDD与输出端口115之间的传输路径。
可见,无论是与哪一第一获取模块102对应的存储芯片101中的通道111出现高温状况,即存储芯片101的温度最大值大于或等于第一触发温度,或者是基础芯片100的温度大于或等于第二触发温度,反馈模块105中的电源端口VDD与输出端口115之间的传输路径均会导通,则输出的高温表征信号CATTRIP为高电平,表征存储系统中存在温度过高的区域,出现时序冲突的风险大;若存储系统中,存储芯片101的温度最大值小于第一触发温度,且基础芯片100的温度小于第二触发温度,则反馈模块105中的电源端口VDD与输出端口115之间的传输路径不会导通,输出的高温表征信号CATTRIP为低电平,表征存储系统中不存在温度过高的区域,出现时序冲突的风险小。
需要说明的是,图8和图10中仅示意出了4个存储芯片101对应的第一获取模块102和第二获取模块103、比较模块104以及反馈模块105之间的连接关系。实际应用中,对存储芯片101的数量不做限制。
在一些实施例中,参考图10,第一反馈单元125可以包括:第一逻辑电路145,与第一获取模块102连接;第一开关电路155,第一开关电路155的第一端以及第二端分别连接电源端口VDD以及输出端口115,第一开关电路155的第一控制端与第一逻辑电路145连接,若温度最大值大于或等于第一触发温度,则第一逻辑电路145向第一控制端输出第一控制信号,以使第一端与第二端之间导通。
在一个例子中,继续参考图10,第一逻辑电路145可以包括:第一或门电路165,与第一获取模块102连接,若与该第一获取模块102对应的至少一个通道111(参考图1)的温度最大值大于或等于第一触发温度,则该第一获取模块102输出的至少一个温度表征信号为高电平,则第一或门电路165接收至少一个高电平以输出高电平信号;第一反相器175,与第一或门电路165的输出连接,若与该第一获取模块102对应的至少一个通道111的温度最大值大于或等于第一触发温度,第一反相器175接收第一或门电路165输出的高电平信号以输出低电平信号,该低电平信号即为第一控制信号;第一开关电路155可以包括:第一PMOS管MP1,第一PMOS管MP1的栅极为第一控制端,源极为第一端,漏极为第二端,若与该第一获取模块102对应的至少一个通道111的温度最大值大于或等于第一触发温度,第一PMOS管MP1接收第一反相器175输出的低电平信号以使第一端与第二端之间导通。其中,温度最大值指的是同一存储芯片101中多个通道111的温度的最大值。
可以理解的是,结合参考图7和图10,第一获取模块102会基于获取的与之对应的存储芯片101中的每个通道111的温度,输出给第一逻辑电路145一个温度表征信号。图7中以TS标示存储芯片101中的任一通道111,与任一通道111对应的温度表征信号为cattrip的示例,且图7中以存储芯片101包括4个通道111,即第一通道TS1、第二通道TS2、第三通道TS3以及第四通道TS4为示例,而且,与第一通道TS1对应的温度表征信号为cattrip1,与第二通道TS2对应的温度表征信号为cattrip2,与第三通道TS3对应的温度表征信号为cattrip3,与第四通道TS4对应的温度表征信号为cattrip4。
若某一存储芯片101中任一通道111温度大于或等于第一触发温度,则与该通道111对应的温度表征信号cattrip输出为高电平,可以理解的是,温度表征信号cattrip1、cattrip2、cattrip3以及cattrip4中只要有一个为高电平,则第一或门电路165输出高电平信号,该高电平信号经过第一反相器175反相后控制第一PMOS管MP1导通,使得电源端口VDD与输出端口115之间的传输路径导通,输出的高温表征信号CATTRIP为高电平。
需要说明的是,图10中仅以第一逻辑电路145包括第一或门电路165和第一反相器175,第一开关电路155包括第一PMOS管MP1为示例,在实际应用中,对第一逻辑电路145和第一开关电路155的具体构造不做限制,只需满足温度最大值大于或等于第一触发温度时,第一逻辑电路145向第一控制端输出第一控制信号可以使得第一开关电路155的第一端与第二端导通即可。例如,在其他实施例中,第一逻辑电路包括第一或门电路,第一开关电路为第一NMOS管。
其中,继续参考图10,第二端可以经由至少一个电阻185与输出端口115连接。
在一些实施例中,继续参考图8和图10,第二反馈单元135可以包括:第二逻辑电路195,与第二获取模块103连接;第二开关电路106,第二开关电路106的第三端以及第四端分别连接电源端口VDD以及输出端口115,第二开关电路106的第二控制端与第二逻辑电路195连接,若基础芯片100的温度大于或等于第二触发温度,则第二逻辑电路195向第二开关电路106的第二控制端输出第二控制信号,以使第三端与第四端之间导通。
可以理解的是,若基础芯片100的温度大于或等于第二触发温度,第二获取模块103会基于获取的基础芯片100的温度,输出给第二逻辑电路195一个温度表征信号,可以理解的是,若基础芯片100的温度大于或等于第二触发温度,该温度表征信号为高电平,该高电平信号经过第二逻辑电路195处理后控制第二PMOS管MP2导通,使得电源端口VDD与输出端口115之间的传输路径导通,输出的高温表征信号CATTRIP为高电平。
在一个例子中,继续参考图10,第二逻辑电路195包括:第二反相器,与第二获取模块103连接,若基础芯片100的温度大于或等于第二触发温度,第二获取模块103输出的温度表征信号为高电平,则第二反相器接收高电平的温度表征信号以输出低电平信号,该低电平信号即为第二控制信号;第二开关电路106包括:第二PMOS管MP2,第二PMOS管MP2的栅极为第二控制端,源极为第三端,漏极为第四端,若基础芯片100的温度大于或等于第二触发温度,第二PMOS管MP2接收第二反相器输出的低电平信号以使第三端与第四端之间导通。
需要说明的是,图10中仅以第二逻辑电路195包括第二反相器,第二开关电路106包括第二PMOS管MP2为示例,在实际应用中,对第二逻辑电路195和第二开关电路106的具体构造不做限制,只需满足基础芯片100的温度大于或等于第二触发温度时,第二逻辑电路195向第二控制端输出第二控制信号可以使得第二开关电路106的第三端与第四端导通即可。例如,在其他实施例中,第二逻辑电路包括想串联的偶数个第二反相器,第二开关电路为第二NMOS管。
在一些实施例中,参考图9,存储系统还可以包括:控制模块107,被配置为,基于反馈模块105输出的高温表征信号CATTRIP,调整与通道111(参考图1)的部分区域对应的存储芯片101存取数据的频率。
可以理解的是,若反馈模块105输出的高温表征信号CATTRIP为高电平,可以通过调整与通道111的部分区域对应的存储芯片101存取数据的频率,即选择性地控制温度较高的存储芯片101的访问节流,降低温度较高的存储芯片101存取数据的频率,以实现对该存储芯片101的降温,降低该存储芯片101存取数据时出现时序冲突的风险。
需要说明的是,本公开实施例提供的存储系统还可以实现对通道111的温度的定位追溯。以下通过两种实施例对其进行详细说明。
在一些实施例中,继续参考图9和图10,反馈模块105还可以包括第二输出端口116,第二输出端口116与每一第一反馈单元125的输出连接,第二输出端口116被配置为分别输出每一第一反馈单元125的输出端输出的信号,图10中以CATTRIP1、CATTRIP2、CATTRIP3和CATTRIP4标示四个第一反馈单元125的输出端输出的信号,如此,后续可以基于获取的CATTRIP1、CATTRIP2、CATTRIP3和CATTRIP4中的哪些信号为高电平,判断出与该高电平对应的存储芯片101的温度过高,需要进行调控,例如降低该存储芯片101存储数据的频率或者停止该存储芯片101存取数据的工作。例如,若获取的CATTRIP2为高电平,表征与CATTRIP2对应的存储芯片101中的至少一个通道111的温度大于第一触发温度,即处于高温状态,因而可以降低该存储芯片101存取数据的频率或者停止该存储芯片101存取数据的工作,实现对该存储芯片101的降温。
在另一些实施例中,在第一存储单元114响应于触发信号CLK的上升沿依次获取多个第一获取模块102输出的第一温度代码Maxtemp的前提下,若比较模块104输出的高温表征代码MaxOuttp与第一存储单元114响应于触发信号CLK的第N次上升沿输出的第一温度代码Maxtemp一致,可以基于触发信号CLK的第N次上升沿追溯出此时是哪一第一获取模块102输出的第一温度代码Maxtemp,从而获知与该第一获取模块102对应的存储芯片101中的至少一个通道111的温度大于第一触发温度,即处于高温状态。进一步的,还可以基于比较该存储芯片101中的多个第一寄存器122存储的与之对应的通道111的温度的代码值,判断与该存储芯片101对应的第一温度代码Maxtemp是哪一第一寄存器122存储的代码值,由于第一寄存器122与通道111一一对应,从而可以定位到该存储芯片101中的哪一通道111的温度大于第一触发温度,从而可以通过降低该通道111包含的存储单元存取数据的频率或者停止该通道111包含的存储单元存取数据的工作,实现对该存储芯片101的降温。
以下结合图8和图11对本公开实施例提供的存储系统的温度监控原理进行详细说明。
需要说明的是,图11中,第一获取模块102获取并输出第一温度代码Maxtemp包括:Mtp0、Mtp1、Mtp2、Mtp3、Mtp4、Mtp5、Mtp6以及Mtp7,其中Mtp0、Mtp1、Mtp2、Mtp3、Mtp4、Mtp5、Mtp6以及Mtp7分别与不同的存储芯片101对应;第一存储单元114存储的温度代码Firtemp包括:Temp、Mtp0、Mtp1、Mtp2、Mtp3、Mtp4、Mtp5、Mtp6以及Mtp7;第二存储单元124存储的参考温度代码Reftemp包括:Temp、Max0、Max1、Max2、Max3、Max4、Max5以及Max6;比较模块104输出的高温表征代码MaxOuttp包括:Temp、Max0、Max1、Max2、Max3、Max4、Max5以及Max6。
在存储系统的初始状态下,第一存储单元114获取并存储第二温度代码Temp,即基础芯片100的温度,此时参考温度代码Reftemp为第二温度代码Temp,则比较模块104输出的高温表征代码MaxOuttp为第二温度代码Temp;后续存储系统中的存储芯片101开始存取数据时,第一获取模块102响应于触发信号CLK的上升沿依次获取并输出第一温度代码Maxtemp,第一存储单元114响应于触发信号CLK的上升沿依次获取并存储第一温度代码Maxtemp,例如,第一获取模块102响应于触发信号CLK的第一个上升沿获取并输出Mtp0,第一存储单元114响应于触发信号CLK的第二个上升沿获取并存储Mtp0,此时的参考温度代码Reftemp为Temp,比较模块104比较Mtp0与Temp所表征的温度的大小,其中表征的温度更高者作为Max0,且比较模块104在触发信号CLK的第二个上升沿输出Max0作为高温表征代码MaxOuttp,比较模块104在下一个上升沿将Max0传输给第二存储单元124作为更新后的参考温度代码Reftemp。
如此,第一获取模块102响应于触发信号CLK的第二个上升沿获取并输出Mtp1,第一存储单元114响应于触发信号CLK的第三个上升沿获取并存储Mtp1,此时的参考温度代码Reftemp为Max0,比较模块104比较Mtp1与Max0所表征的温度的大小,其中表征的温度更高者作为Max1,且比较模块104在触发信号CLK的第三个上升沿输出Max1作为高温表征代码MaxOuttp,比较模块104在下一个上升沿将Max1传输给第二存储单元124作为更新后的参考温度代码Reftemp。以此类推,第一获取模块102响应于触发信号CLK的第八个上升沿获取并输出Mtp7,第一存储单元114响应于触发信号CLK的第九个上升沿获取并存储Mtp7,此时的参考温度代码Reftemp为Max6,比较模块104比较Mtp7与Max6所表征的温度的大小,其中表征的温度更高者作为Max7,且比较模块104在触发信号CLK的第九个上升沿输出Max7作为高温表征代码MaxOuttp。
需要说明的是,第一获取模块102中的第一寄存器122可以在不影响存储芯片101正常存取数据的前提下,集合在与该第一寄存器122对应的存储芯片101中,在实际应用中,第一寄存器122和第一比较器132中的任一者可以集成在基础芯片100中,或者独立于存储芯片101和基础芯片100集成在存储系统中。同理,比较模块104中的第三寄存器164可以在不影响存储芯片101正常存取数据的前提下,集合在与该第三寄存器164对应的存储芯片101中,在实际应用中,第三寄存器164、第二寄存器154、第二存储单元124以及比较单元134中的任一者可以集成在基础芯片100中,或者独立于存储芯片101和基础芯片100集成在存储系统中。同理,反馈模块105中的第一反馈单元125可以在不影响存储芯片101正常存取数据的前提下,集合在与该第一反馈单元125对应的存储芯片101中,在实际应用中,第一反馈单元125、第二反馈单元135、输出端口115以及第二输出端口116中的任一者可以集成在基础芯片100中,或者独立于存储芯片101和基础芯片100集成在存储系统中
综上所述,温度处理模块110用于获取各个存储芯片101中的所有通道111的温度中的最大值,并将该最大值转换为第一温度代码Maxtemp以输出,便于后续人工或者机器对该存储芯片101的温度进行检测以及调控;温度处理模块110还用于获取基础芯片100的温度,并将该温度转换为第二温度代码Temp以输出,便于后续人工或者机器对基础芯片100的温度进行检测以及调控。而且,温度处理模块110还比较多个第一温度代码Maxtemp与第二温度代码Temp,并输出获取的温度代码中表征温度更高的一者,以获取存储系统中所有通道111中温度最高区域对应的温度代码,便于后续人工或者机器对存储系统整体的温度进行检测以及调控,以降低存储系统中发生高温时序冲突的风险。
本领域的普通技术人员可以理解,上述各实施方式是实现本公开的具体实施例,而在实际应用中,可以在形式上和细节上对其作各种改变,而不偏离本公开实施例的精神和范围。任何本领域技术人员,在不脱离本公开实施例的精神和范围内,均可作各自更动与修改,因此本公开实施例的保护范围应当以权利要求限定的范围为准。

Claims (16)

1.一种存储系统,其特征在于,包括:
基础芯片以及多个堆叠的存储芯片,所述存储芯片包括多个存储单元;所述存储系统具有多个通道,每一所述通道包括所有所述存储芯片中的部分数量的所述存储单元,每一所述通道的部分区域与一所述存储芯片对应,且每一所述通道均与所述基础芯片电连接;
温度处理模块,被配置为,获取多个与每一所述存储芯片对应的第一温度代码,获取表征所述基础芯片的温度的第二温度代码,并比较多个所述第一温度代码与所述第二温度代码,输出高温表征代码,其中,所述第一温度代码表征相应的所述存储芯片对应的所有所述通道的部分区域中的温度最大值,所述高温表征代码为所述第一温度代码与所述第二温度代码中表征温度更高的一者。
2.如权利要求1所述的存储系统,其特征在于,所述温度处理模块包括:
多个第一获取模块,每一所述第一获取模块与一所述存储芯片相对应,每一所述第一获取模块被配置为,获取并输出与每一所述存储芯片对应的所述第一温度代码;
第二获取模块,所述第二获取模块被配置为,获取并输出表征所述基础芯片的温度的所述第二温度代码;
比较模块,被配置为,获取所述第二温度代码并逐次获取多个所述第一获取模块输出的多个所述第一温度代码,并比较每一所述第一温度代码与参考温度代码,输出高温表征代码,所述高温表征代码为所述第一温度代码与所述参考温度代码中表征温度更高的一者,其中,所述参考温度代码为所述比较模块前一次获取的温度代码与后一次获取的温度代码中表征温度更高的一者,所述温度代码为所述第一温度代码或者所述第二温度代码。
3.如权利要求2所述的存储系统,其特征在于,每一所述第一获取模块包括:
多个第一温度传感器,每一所述第一温度传感器用于检测所述存储芯片中各所述通道的温度;
多个第一寄存器,每一所述第一寄存器与相应的所述第一温度传感器连接,用于存储表征所述通道的温度的代码值;
第一比较器,与所述比较模块连接,被配置为,比较多个所述第一寄存器存储的所述代码值,并输出所述第一温度代码。
4.如权利要求3所述的存储系统,其特征在于,所述第一寄存器包括:第一类寄存器,用于存储表征所述通道的温度的第一代码值,所述第一代码值的比特位数为2位;第二类寄存器,用于存储表征所述通道的温度的第二代码值,所述第二代码值的比特位数为8位。
5.如权利要求2所述的存储系统,其特征在于,所述第二获取模块包括:
第二温度传感器,与所述比较模块连接,所述第二温度传感器用于检测所述基础芯片的温度。
6.如权利要求2所述的存储系统,其特征在于,所述比较模块包括:
第一存储单元,被配置为,获取并存储所述第二温度代码,或者,响应于触发信号依次获取并存储所述第一温度代码;
第二存储单元,被配置为,存储参考温度代码,且在初始状态下所述参考温度代码为所述第二温度代码;
比较单元,被配置为,比较所述第一存储单元中的所述第一温度代码以及所述参考温度代码,或者,比较所述第一存储单元中的所述第二温度代码以及所述参考温度代码,并更新所述第二存储单元中的所述参考温度代码,更新后的所述参考温度代码为所述第一存储单元前一次获取的所述温度代码与后一次获取的所述温度代码中表征温度更高的一者。
7.如权利要求6所述的存储系统,其特征在于,所述第一存储单元包括:
移位寄存器以及第二寄存器,所述移位寄存器被配置为,接收多个所述第一温度代码,并响应于触发信号逐次向所述第二寄存器传输所述第一温度代码,且每次向所述第二寄存器传输一个所述第一温度代码;
所述第二寄存器被配置为,接收所述移位寄存器传输的所述第一温度代码,或者,接收所述第二温度代码。
8.如权利要求7所述的存储系统,其特征在于,所述移位寄存器包括:
多个第三寄存器,每一所述第三寄存器与相应的所述第一获取模块对应,每一所述第三寄存器被配置为,获取所述第一温度代码,并响应于所述触发信号向所述第二寄存器传输所述第一温度代码。
9.如权利要求2所述的存储系统,其特征在于,还包括:反馈模块,被配置为,若任一所述存储芯片的温度最大值大于或等于第一触发温度,或者,若所述基础芯片的温度大于或等于第二触发温度,则输出高温表征信号。
10.如权利要求9所述的存储系统,其特征在于,所述反馈模块包括:
输出端口,所述输出端口用于输出所述高温表征信号;
多个第一反馈单元,每一所述第一反馈单元均与所述输出端口连接且与相应的所述第一获取模块对应,每一所述第一反馈单元被配置为,若所述存储芯片的所述温度最大值大于或等于所述第一触发温度,则导通电源端口与所述输出端口之间的传输路径;
第二反馈单元,与所述输出端口连接,被配置为,若所述基础芯片的所述温度大于或等于所述第二触发温度,则导通所述电源端口与所述输出端口之间的传输路径。
11.如权利要求10所述的存储系统,其特征在于,所述第一反馈单元包括:
第一逻辑电路,与所述第一获取模块连接;
第一开关电路,所述第一开关电路的第一端以及第二端分别连接所述电源端口以及所述输出端口,所述第一开关电路的第一控制端与所述第一逻辑电路连接,若所述温度最大值大于或等于所述第一触发温度,则所述第一逻辑电路向所述第一控制端输出第一控制信号,以使所述第一端与所述第二端之间导通。
12.如权利要求11所述的存储系统,其特征在于,所述第一逻辑电路包括:
第一或门电路,与所述第一获取模块连接,若所述温度最大值大于或等于所述第一触发温度,则所述第一或门电路输出高电平信号;
第一反相器,与所述第一或门电路的输出连接;
所述第一开关电路包括:
第一PMOS管,所述第一PMOS管的栅极为所述第一控制端,源极为所述第一端,漏极为所述第二端。
13.如权利要求11所述的存储系统,其特征在于,所述第二端经由至少一个电阻与所述输出端口连接。
14.如权利要求10所述的存储系统,其特征在于,所述第二反馈单元包括:
第二逻辑电路,与所述第二获取模块连接;
第二开关电路,所述第二开关电路的第三端以及第四端分别连接所述电源端口以及所述输出端口,所述第二开关电路的第二控制端与所述第二逻辑电路连接,若所述基础芯片的温度大于或等于所述第二触发温度,则所述第二逻辑电路向所述第二开关电路的第二控制端输出第二控制信号,以使所述第三端与所述第四端之间导通。
15.如权利要求14所述的存储系统,其特征在于,所述第二逻辑电路包括:
第二反相器,与所述第二获取模块连接,若所述基础芯片的温度大于或等于所述第二触发温度,则所述第二反相器输出低电平信号;
所述第二开关电路包括:
第二PMOS管,所述第二PMOS管的栅极为所述第二控制端,源极为所述第三端,漏极为所述第四端。
16.如权利要求9所述的存储系统,其特征在于,还包括:控制模块,被配置为,基于所述反馈模块输出的所述高温表征信号,调整与所述通道的部分区域对应的所述存储芯片存取数据的频率。
CN202210645145.1A 2022-06-08 2022-06-08 存储系统 Pending CN117234835A (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN202210645145.1A CN117234835A (zh) 2022-06-08 2022-06-08 存储系统
PCT/CN2022/100924 WO2023236258A1 (zh) 2022-06-08 2022-06-23 存储系统
US18/151,436 US20230401136A1 (en) 2022-06-08 2023-01-08 Storage system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202210645145.1A CN117234835A (zh) 2022-06-08 2022-06-08 存储系统

Publications (1)

Publication Number Publication Date
CN117234835A true CN117234835A (zh) 2023-12-15

Family

ID=89097301

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210645145.1A Pending CN117234835A (zh) 2022-06-08 2022-06-08 存储系统

Country Status (2)

Country Link
CN (1) CN117234835A (zh)
WO (1) WO2023236258A1 (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9489146B2 (en) * 2014-12-09 2016-11-08 Sandisk Technologies Llc Memory system and method for selecting memory dies to perform memory access operations in based on memory die temperatures
KR102373543B1 (ko) * 2015-04-08 2022-03-11 삼성전자주식회사 멀티칩 패키지에서 온도 편차를 이용하여 동작 제어하는 방법 및 장치
US9996281B2 (en) * 2016-03-04 2018-06-12 Western Digital Technologies, Inc. Temperature variation compensation
US20190161341A1 (en) * 2017-11-28 2019-05-30 Micron Technology, Inc. Systems and methods for temperature sensor access in die stacks

Also Published As

Publication number Publication date
WO2023236258A1 (zh) 2023-12-14

Similar Documents

Publication Publication Date Title
US8499206B2 (en) Memory system and method for preventing system hang
TWI399905B (zh) 電池管理系統及於電池管理系統中致能通信之方法
JP5180016B2 (ja) 電圧検出回路
US11011668B2 (en) Semiconductor device, semiconductor system, and method of controlling the semiconductor device
US7777513B2 (en) Power supply voltage detection circuit and semiconductor integrated circuit device
US8444316B2 (en) Temperature measuring device and method
US20130034121A1 (en) Semiconductor memory device including temperature test circuit
US8842486B2 (en) Integrated circuit chip and semiconductor memory device
US20170186496A1 (en) Semiconductor memory devices and methods of testing open failures thereof
US7246022B2 (en) Initiation of differential link retraining upon temperature excursion
CN117234835A (zh) 存储系统
US20190340141A1 (en) Ddr5 rcd interface protocol and operation
US8027220B2 (en) Oscillation device, method of oscillation, and memory device
US7549796B2 (en) Digital temperature detection circuit adapted for use with semiconductor device
US10270678B2 (en) System including master device and slave device, and operation method of the system
KR100821578B1 (ko) 반도체 메모리의 파워 업 신호 생성장치 및 방법
US9660617B2 (en) Semiconductor apparatus
US20230015113A1 (en) Impedance calibration circuit, impedance calibration method, and memory
US20230401136A1 (en) Storage system
US10566073B2 (en) Test apparatus and semiconductor chip
KR0140026B1 (ko) 리셋 회로
US20110026561A1 (en) Temperature information outputting circuit and a semiconductor memory apparatus using the same
CN106067324B (zh) 一种用于校准模拟集成电路的方法及装置
TWI473115B (zh) 記憶體及其電壓監控裝置
US11984184B2 (en) Voltage testing circuit with error protection scheme

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination