CN117178228A - 清洁方法和相关联照射源量测设备 - Google Patents

清洁方法和相关联照射源量测设备 Download PDF

Info

Publication number
CN117178228A
CN117178228A CN202280029074.0A CN202280029074A CN117178228A CN 117178228 A CN117178228 A CN 117178228A CN 202280029074 A CN202280029074 A CN 202280029074A CN 117178228 A CN117178228 A CN 117178228A
Authority
CN
China
Prior art keywords
radiation
assembly
medium
gas
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280029074.0A
Other languages
English (en)
Inventor
P·W·斯摩奥伦堡
S·爱德华
S·N·L·多纳斯
A·J·H·斯盖勒肯斯
D·欧德威尔
A·尼基帕罗夫
G·C·德弗里斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Priority claimed from PCT/EP2022/058586 external-priority patent/WO2022228820A1/en
Publication of CN117178228A publication Critical patent/CN117178228A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/353Frequency conversion, i.e. wherein a light beam is generated with frequency components different from those of the incident light beams
    • G02F1/354Third or higher harmonic generation
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/3501Constructional details or arrangements of non-linear optical devices, e.g. shape of non-linear crystals
    • G02F1/3505Coatings; Housings; Supports
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/3528Non-linear optics for producing a supercontinuum
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2203/00Function characteristic
    • G02F2203/26Pulse shaping; Apparatus or methods therefor

Landscapes

  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一种组件,包括一空间,所述空间被配置用于放置介质以接收第一辐射,所述第一辐射用于产生第二辐射。在操作中,所述第二辐射在所述介质之后与所述第一辐射同轴地传播。所述组件还包括在所述介质之后用于利用表面区域透射或反射所述第一辐射光学元件。所述组件被配置成使得在操作中清洁气体与所述表面区域接触。反应性介质是通过所述第二辐射从所述清洁气体的至少一部分产生的,以用于从所述表面区域移除污染物。

Description

清洁方法和相关联照射源量测设备
相关申请的交叉引用
本申请要求于2021年4月26日递交的欧洲申请21170472.1和于2021年10月25日递交的欧洲申请21204494.5的优先权,并且这些欧洲申请的全部内容通过引用而被合并入本文中。
技术领域
本发明涉及清洁方法和相关联的照射源量测设备。
背景技术
光刻设备是被构造成将期望的图案施加至衬底上的机器。光刻设备可以用于(例如)集成电路(IC)的制造中。光刻设备可以例如将图案形成装置(例如掩模)处的图案(也常常称为“设计布局”或“设计”)投影至设置在衬底(例如晶片)上的辐射敏感材料(抗蚀剂)层上。
为了将图案投影到衬底上,光刻设备可以使用电磁辐射。这种辐射的波长确定可以形成在衬底上的特征的最小大小。当前在使用中的典型波长是365nm(i线)、248nm、193nm和13.5nm。相比于使用例如具有193nm的波长的辐射的光刻设备,使用具有在4nm至20nm的范围内的波长(例如6.7nm或13.5nm)的极紫外(EUV)辐射的光刻设备可以用于在衬底上形成较小特征。
低k1光刻可以用于处理尺寸小于光刻设备的经典分辨率极限的特征。在这样的过程中,可以将分辨率公式表达为CD=k1×λ/NA,其中,λ是所使用的辐射的波长,NA是光刻设备中的投影光学器件的数值孔径,CD是“临界尺寸”(通常是所印制的最小特征大小,但在这种情况下是半节距)且k1是经验分辨率因子。通常,k1越小,则在衬底上再现类似于由电路设计者规划的形状和尺寸以便实现特定电功能性和性能的图案变得越困难。为了克服这些困难,可以将复杂的微调步骤应用于光刻投影设备和/或设计布局。这些步骤包括(例如)但不限于NA的优化、定制照射方案、使用相移图案形成装置、诸如设计布局中的光学邻近效应校正(OPC,有时也称为“光学和过程校正”)的设计布局的各种优化,或通常被定义为“分辨率增强技术”(RET)的其它方法。或者,用于控制光刻设备的稳定性的严格控制回路可以用于改善在低k1下的图案的再现。
在光刻过程中,期望频繁地对所产生的结构进行测量(例如)以用于过程控制和验证。用于进行这些测量的各种工具是众所周知的,包括常常用于测量临界尺寸(CD)的扫描电子显微镜,和用于测量重叠(装置中两个层的对准准确度)的专用工具。近来,已开发供光刻领域中使用的各种形式的散射仪。
已知散射仪的示例通常依赖于专用量测目标的布建。例如,所述方法可能需要呈简单光栅的形式的目标,所述光栅足够大以使得测量束产生小于光栅的斑(即,光栅欠填充)。在所谓重构方法中,可以通过模拟散射辐射与目标结构的数学模型的相互作用来计算光栅的性质。调整所述模型的参数直到所模拟的相互作用产生类似于从真实目标观测的衍射图案的衍射图案为止。
除了通过重构进行特征形状的测量以外,也可以使用这样的设备来测量基于衍射的重叠,如已公开专利申请US2006066855A1中所描述的。使用衍射阶的暗场成像进行的基于衍射的重叠量测使得能够对较小目标进行重叠测量。这些目标可以小于照射斑且可以由晶片上的产品结构围绕。可以在诸如US2011102753A1和US20120044470A之类的许多公开专利申请中发现暗场成像量测的示例。可以使用复合光栅目标来在一个图像中测量多个光栅。已知散射仪趋向于使用在可见或近红外(IR)波范围内的光,这要求光栅的节距比其性质是实际上受到关注的实际产品结构粗略得多。可以使用具有短得多的波长的深紫外(DUV)、极紫外(EUV)或X射线辐射来限定这样的产品特征。令人遗憾地,这些波长通常不可以用于或不能用于量测。
另一方面,现代产品结构的尺寸太小,使得它们无法由光学量测技术而被成像。小特征包括(例如)通过多重图案化过程和/或节距倍增而形成的那些特征。因此,用于大容量量测的目标通常使用比其重叠误差或临界尺寸是受到关注的性质的产品大得多的特征。测量结果仅与真实产品结构的尺寸间接地相关,并且可能不准确,这是因为所述量测目标在光刻设备中的光学投影和/或制造过程的其它步骤中的不同处理下不会遭受相同变形。虽然扫描电子显微法(SEM)能够直接地分辨这些现代产品结构,但SEM比光学测量耗时多得多。此外,电子不能够穿透厚的过程层,这使得电子较不适于量测应用。诸如使用接触垫来测量电性质的其它技术也是已知的,但其仅提供真实产品结构的间接证据。
通过减小在量测期间所使用的辐射的波长,可能分辨较小结构,以增加对结构的结构变化的灵敏度和/或进一步穿透至产品结构中。产生适当高频率辐射(例如硬X射线、软X射线和/或EUV辐射)的一种这样的方法可以使用泵浦辐射(例如红外IR辐射)以激励产生介质,由此产生发射辐射,可选地为包括高频率辐射的高阶谐波产生。
发明内容
在本发明的第一方面中,提供一种组件,所述组件包括一空间,所述空间被配置用于放置介质以接收第一辐射,所述第一辐射用于产生第二辐射。在操作中,所述第二辐射在所述介质之后与所述第一辐射同轴地传播。所述组件还包括在所述介质之后用于利用表面区域透射或反射所述第一辐射光学元件。所述组件被配置成使得在操作中清洁气体与所述表面区域接触。反应性介质是通过所述第二辐射从所述清洁气体的至少一部分产生的,以用于从所述表面区域移除污染物。
在本发明的第二方面中,提供一种包括如上文所描述的组件的辐射源。
在本发明的第三方面中,提供一种包括如上文所描述的辐射源的光刻设备。
在本发明的第四方面中,提供一种包括如上文所描述的辐射源的量测设备。
在本发明的第五方面中,提供一种包括如上文所描述的辐射源的光刻单元。
在本发明的第六方面中,提供一种方法,包括:将第一辐射输入至介质中以产生第二辐射,使得所述第二辐射在所述介质之后与所述第一辐射同轴地传播并且入射至位于所述介质之后的光学元件的表面区域上,其中所述光学元件的所述表面区域透射或反射所述第一辐射;提供与所述表面区域接触的清洁气体;和通过所述第二辐射从所述清洁气体的至少一部分产生反应性介质以用于从所述表面区域移除污染物。
在本发明的第七方面中,提供一种非暂时性计算机程序产品,在所述非暂时性计算机程序产品中包括机器可读指令,所述机器可读指令在由计算机系统执行时被配置成使所述计算机系统至少执行如上文所描述的方法。
附图说明
现在将参考随附示意图作为示例来描述实施例,在所述示意图中:
-图1描绘光刻设备的示意图概略图;
-图2描绘光刻单元的示意图概略图;
-图3描绘整体光刻的示意性表示,其表示用于优化半导体制造的三种关键技术之间的协作;
-图4示意性地图示出散射量测设备;
-图5示意性地图示出透射散射量测设备;
-图6描绘其中使用EUV和/或SXR辐射的量测设备的示意性表示;-图7描绘照射源的简化示意图;
-图8描绘多通道单元的至少一部分的示例的示意图。
-图9描绘产生谐波辐射的晶体的配置的示例的示意图。
-图10描绘产生谐波辐射的晶体的配置的示例的示意图。
-图11描绘具有气体喷嘴的组件的示例的示意图。
具体实施方式
在本文献中,术语“辐射”和“束”用于涵盖所有类型的电磁辐射和粒子辐射,包括紫外辐射(例如,波长是365nm、248nm、193nm、157nm或126nm)、极紫外辐射(EUV,例如具有在约5nm至100nm的范围内的波长)、X射线辐射、电子束辐射和其它粒子辐射。
如本文中所所采用的术语“掩模版”、“掩模”或“图案形成装置”可以广义地解释为是指可以用于向入射辐射束赋予图案化横截面的通用图案形成装置,所述图案化横截面对应于待在衬底的目标部分中产生的图案。在这样的情境下,也可以使用术语“光阀”。除典型掩模(透射式或反射式,二元、相移、混合式等)以外,其它这样的图案形成装置的示例包括可编程反射镜阵列和可编程LCD阵列。
图1示意性地描绘光刻设备LA。光刻设备LA包括配置成调节辐射束B(例如紫外UV辐射、DUV辐射、EUV辐射或X射线辐射)的照射系统(也称作照射器)IL、构造成支撑图案形成装置(例如掩模)MA并连接至配置成根据某些参数准确地定位图案形成装置MA的第一定位器PM的掩模支撑件(例如掩模台)T、构造成保持衬底(例如涂覆有抗蚀剂的晶片)W并连接至配置成根据某些参数准确地定位衬底支撑件的第二定位器PW的衬底支撑件(例如晶片台)WT,和配置成由图案形成装置MA将赋予至辐射束B的图案投影至衬底W的目标部分C(例如包括一个或更多个管芯)上的投影系统(例如折射型投影透镜系统)PS。
在操作中,照射系统IL例如经由束传递系统BD从辐射源SO接收辐射束。照射系统IL可以包括各种类型的光学元件,诸如折射型、反射型、衍射型、磁性型、电磁型、静电型和/或其它类型的光学元件或其任何组合以引导、成形和/或控制辐射。照射器IL可以用于调节辐射束B,以在图案形成装置MA的平面处在其横截面中具有期望的空间和角强度分布。
本发明所使用的术语“投影系统”PS应被广义地解释为涵盖适于所使用的曝光辐射和/或适于诸如浸没液体的使用或真空的使用之类的其它因素的各种类型的投影系统,包括折射型、反射型、反射折射型、变形型、磁性型、电磁型和/或静电型光学系统,或其任何组合。可以认为本文中对术语“投影透镜”的任何使用与更上位的术语“投影系统”PS同义。
光刻设备LA可以属于一种类型,其中,衬底的至少一部分可以由具有相对高折射率的例如水的液体覆盖,以便填充投影系统PS与衬底W之间的空间--这也称为浸没光刻术。全文以引用方式并入本文中的US6952253中给出关于浸没技术的更多信息。
光刻设备LA也可以属于具有两个或更多个衬底支撑件WT(又名“双平台”)的类型。在这样的“多平台”机器中,可以并行地使用衬底支撑件WT,和/或可以对位于衬底支撑件WT中的一个衬底支撑件上的衬底W进行准备衬底W的后续曝光的步骤,同时将另一衬底支撑件WT上的另一衬底W用于在另一衬底W上曝光图案。
除了衬底支撑件WT以外,光刻设备LA也可以包括测量平台。测量平台被布置成保持传感器和/或清洁装置。传感器可以被布置成测量投影系统PS的性质或辐射束B的性质。测量平台可以保持多个传感器。清洁装置可以被布置成清洁光刻设备的部分,例如,投影系统PS的部分或提供浸浸液体的系统的部分。测量平台可以在衬底支撑件WT远离投影系统PS时在投影系统PS下方移动。
在操作中,辐射束B入射至保持在掩模支撑件T上的图案形成装置MA(例如掩模),并且由呈现于图案形成装置MA上的图案(设计布局)进行图案化。在已横穿掩模MA的情况下,辐射束B穿过投影系统PS,所述投影系统PS将所述束聚焦至衬底W的目标部分C上。借助于第二定位器PW和位置测量系统IF,可以准确地移动衬底支撑件WT,例如以便使不同的目标部分C在辐射束B的路径中定位在经聚焦且对准的位置处。类似地,第一定位器PM和可能的另一位置传感器(其未在图1中明确地描绘的)可以用于相对于辐射束B的路径来准确地定位图案形成装置MA。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA与衬底W。虽然如所图示的衬底对准标记P1、P2占据专用目标部分,但其可以位于目标部分之间的空间中在衬底对准标记P1、P2位于目标部分C之间时,这些衬底对准标记称为划线对准标记。
如图2中示出的,光刻设备LA可以形成光刻单元LC的部分,有时也称为光刻元或(光刻)簇,所述光刻单元常常也包括用于对衬底W执行曝光前过程和曝光后过程的设备。常规地,这些设备包括用于沉积抗蚀剂层的旋涂器SC、用于显影经曝光的抗蚀剂的显影器DE、例如用于调节衬底W的温度(例如,用于调节抗蚀剂层中的溶剂)的激冷板CH和焙烤板BK。衬底输送装置或机器人RO从输入/输出端口I/O1、I/O2拾取衬底W、在不同过程设备之间移动衬底W且将衬底W传递至光刻设备LA的进料台LB。光刻单元中常常也统称为轨道或涂覆显影系统的装置可以处于轨道或涂覆显影系统控制单元TCU的控制下,所述轨道或涂覆显影系统控制单元自身可以由管理控制系统SCS控制,所述管理控制系统也可以例如经由光刻控制单元LACU控制光刻设备LA。
在光刻过程中,期望频繁地对所创建的结构进行测量,例如,用于过程控制和验证。用于进行这种测量的工具可以被称为量测工具MT。用于进行这样的测量的不同类型的量测工具MT是众所周知的,包括扫描电子显微镜或各种形式的散射仪量测工具MT。散射仪是多功能仪器,其允许通过在光瞳或与散射仪的物镜的光瞳共轭的平面中或附近设置传感器来测量光刻过程的参数,测量通常称为基于光瞳的测量,或通过在像平面或与像平面共轭的平面中或附近设置传感器来测量光刻过程的参数,在这种情况下测量通常称为基于图像或场的测量。以全文引用的方式并入本文中的专利申请US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中另外描述这样的散射仪和相关测量技术。前述散射仪可以使用来自硬X射线(HXR)、软X射线(SXR)、极紫外(EUV)、可见光至近红外(IR)和IR波长范围的光来测量光栅。在辐射为硬X射线或软X射线的情况下,前述散射仪可以可选地为小角度X射线散射量测工具。
为了正确且一致地曝光由光刻设备LA曝光的衬底W,期望检查衬底以测量经图案化的结构的性质,诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)、结构的形状等。为此目的,可以在光刻单元LC中包括检查工具和/或测量工具(图中没有示)。如果检测到误差,则可以对后续衬底的曝光或对待对衬底W执行的其它处理步骤进行例如调整,在同一批量或批次的其它衬底W仍待曝光或处理之前进行检查的情况下尤其如此。
也可以称为量测设备的检查设备用于确定衬底W的性质,并且具体地,确定不同衬底W的性质如何变化或与同一衬底W的不同层相关联的性质在层与层间如何变化。检查设备替代地构造成识别衬底W上的缺陷,并且可以例如为光刻单元LC的一部分,或可以集成至光刻设备LA中,或甚至可以是单独的装置。检查设备可以测量潜像(在曝光之后在抗蚀剂层中的图像)上的性质,或半潜像(在曝光后焙烤步骤PEB之后在抗蚀剂层中的图像)上的性质,或经显影的抗蚀剂图像(其中抗蚀剂的曝光部分或未曝光部分已被移除)上的性质,或甚至经蚀刻的图像(在诸如蚀刻的图案转印步骤之后)上的性质。
在第一实施例中,散射仪MT是角分辨散射仪。在这样的散射仪中,重构方法可以应用于经测量的信号以重构或计算光栅的性质。这种重构可以例如由模拟散射辐射与目标结构的数学模型的相互作用且比较模拟结果与测量的结果引起。调整数学模型的参数直到所模拟的相互作用产生类似于从真实目标观测到的衍射图案的衍射图案为止。
在第二实施例中,散射仪MT是光谱散射仪MT。在这样的光谱散射仪MT中,由辐射源发射的辐射被引导至目标上且来自目标的反射、透射或散射辐射被引导至光谱仪检测器,所述光谱仪检测器测量镜面反射辐射的光谱(即随波长而变的强度的测量)。根据这种数据,可以例如通过严格耦合波分析和非线性回归或通过与模拟光谱库比较来重构产生所检测的光谱的目标的结构或轮廓。
在第三实施例中,散射仪MT是椭圆测量散射仪。椭圆测量散射仪允许通过测量针对每个偏振状态的散射或透射辐射来确定光刻过程的参数。这种量测设备通过在量测设备的照射区段中使用例如适当偏振滤波器来发射偏振光(诸如线性、环状或椭圆)。适于量测设备的源也可以提供偏振辐射。以全文引用的方式并入本文中的美国专利申请11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110和13/891,410中描述现有椭圆测量散射仪的各个实施例。
在散射仪MT的一个实施例中,散射仪MT适用于通过测量反射光谱和/或检测配置中的不对称性(所述不对称性与重叠的范围有关)来测量两个未对准光栅或周期性结构的重叠。可以将两个(可以是重叠的)光栅结构施加在两个不同层(不必是连续层)中,并且所述两个光栅结构可以形成为处于晶片上大致相同的位置。散射仪可以具有如例如共有的专利申请EP1,628,164A中所描述的对称检测配置,使得可以明确区分任何不对称性。这提供用于测量光栅中的未对准的直接方式。可以在以全文引用的方式并入本文中的PCT专利申请公开号WO2011/012624或美国专利申请号US20160161863中找到关于包括作为目标的周期性结构的两个层之间的重叠误差经由所述周期性结构的不对称性来测量的另外的示例。
其它所关注的参数可以是焦距和剂量。可以通过如以全文引用的方式并入本文中的美国专利申请US2011-0249244中所描述的散射测量(或替代地通过扫描电子显微法)同时确定焦距和剂量。可以使用具有针对焦距能量矩阵(FEM--也称为焦距曝光矩阵)中的每个点的临界尺寸和侧壁角测量的独特组合的单个结构。如果可以得到临界尺寸和侧壁角的这些独特组合,则可以根据这些测量唯一地确定焦距和剂量值。
量测目标可以是通过光刻过程主要在抗蚀剂中形成且也在例如蚀刻过程之后形成的复合光栅的总体。光栅中的结构的节距和线宽可以在很大程度上依赖于测量光学器件(特别地,光学器件的NA)以能够捕获来自量测目标的衍射阶。如先前所指示的,衍射信号可以用于确定两个层之间的移位(也称为“重叠”),或可以用于重构如通过光刻过程所产生的原始光栅的至少一部分。这种重构可以用于提供光刻过程的品质指导,并且可以用于控制光刻过程的至少一部分。目标可以具有配置成模仿目标中的设计布局的功能性部分的尺寸的较小子分段。归因于这种子分段,目标将表现得更类似于设计布局的功能性部分,使得总体过程参数测量更优选地类似于设计布局的功能性部分。可以在欠填充模式下或在过填充模式下量测目标。在欠填充模式下,测量束产生小于总体目标的斑。在过填充模式下,测量束产生大于总体目标的斑。在这样的过填充模式中,也可能同时测量不同的目标,因此同时确定不同处理参数。
使用特定目标进行的光刻参数的总体测量品质至少部分通过用于测量这种光刻参数的测量选配方案来确定的。术语“衬底测量选配方案”可以包括测量自身的一个或更多个参数、经测量的一个或更多个图案的一个或更多个参数,或两者。例如,如果用于衬底测量选配方案中的测量为基于衍射的光学测量,则测量的参数中的一个或更多个参数可以包括辐射的波长、辐射的偏振、辐射相对于衬底的入射角、辐射相对于衬底上的图案的方向,等。用于选择测量选配方案的准则中的一个可以例如为测量参数中的一个对于处理变化的灵敏度。以全文引用的方式并入本文中的美国专利申请US2016-0161863和美国专利公开申请US2016/0370717A1中描述更多示例。
光刻设备LA中的图案化过程可以是在处理中的最关键步骤之一,其需要衬底W上的结构的尺寸设计和放置的高准确度。为了确保这种高准确度,可以将三个系统组合于所谓的“整体”控制环境中,如图3示意性地描绘的,这些系统中的一个系统是光刻设备LA,所述光刻设备(实际上)连接至量测工具MT(第二系统)且连接至计算机系统CL(第三系统)。这种“整体”环境的关键在于优化这三个系统之间的协作以增强总体过程窗口且提供严格控制回路,从而确保由光刻设备LA执行的图案化保持在过程窗口内。过程窗口限定过程参数(例如剂量、焦距、重叠)的范围,在所述范围内特定制造过程得到所限定的结果(例如功能半导体器件)-可能在光刻过程或图案化过程中的过程参数允许在所述范围内变化。
计算机系统CL可以使用待图案化的设计布局(的部分)以预测使用哪些分辨率增强技术且执行计算光刻模拟和计算以确定哪种掩模布局和光刻设备设置实现图案化过程的最大总体过程窗口(由第一标尺SC1中的双箭头在图3中描绘)。分辨率增强技术可以被布置成匹配光刻设备LA的图案化可能性。计算机系统CL也可以用于检测过程窗口内何处的光刻设备LA当前正在操作(例如,使用来自量测工具MET的输入)以预测缺陷是否归因于例如次优处理而可以存在(在图3中由第二标尺SC2中的指向“0”的箭头描绘)。
量测工具MT可以将输入提供至计算机系统CL以使得能够准确模拟和预测,并且可以将反馈提供至光刻设备LA以识别例如光刻设备LA的校准状态中的可能漂移(在图3中由第三标尺SC3中的多个箭头描绘)。
可以提供用于测量使用光刻图案化设备产生的结构的许多不同的形式的量测工具MT。量测工具MT可以使用电磁辐射来询问结构。辐射的性质(例如,波长、带宽、功率)可能影响工具的不同测量特性,其中,较短波长通常允许增加的分辨率。辐射波长对量测工具可实现的分辨率有影响。因此,为了能够利用具有小尺寸的特征来测量结构,具有短波长辐射源的量测工具MT是优选的。
辐射波长可能影响测量特性的另一方式是穿透深度,和待检查材料在辐射波长下的透明度/不透明度。依赖于不透明度和/或穿透深度,辐射可以用于以透射或反射方式进行的测量。测量的类型可能影响是否获得关于结构/衬底的表面和/或块体内部的信息。因此,当选择用于量测工具的辐射波长时,穿透深度和不透明度是待考虑的另一要素。
为了实现经光刻图案化的结构的测量的较高分辨率,具有短波长的量测工具MT是优选的。这可以包括短于可见波长的波长,例如,在电磁波谱的UV、EUV和X射线部分中。诸如透射小角度X射线散射(TSAXS)的硬X射线方法利用高分辨率和高穿透深度的硬X射线,并且因此可以在透射中操作。另一方面,软X射线和EUV不穿透目标,而是可以引发待探测的材料中的丰富光学响应。这可以归因于许多半导体材料的光学性质,并且归因于结构的大小与探测波长相当。因此,EUV和/或软X射线量测工具MT可以在反射中操作,例如通过成像或通过分析来自经光刻图案化的结构的衍射图案。
对于硬X射线、软X射线和EUV辐射,可以归因于在所需波长下不具有可用高亮度辐射源而限制高量制造(HVM)应用中的应用。在硬X射线的情况下,工业应用中常用的源包括X射线管。包括先进X射线管(例如,基于液态金属阳极或旋转阳极)的X射线管可以相对负担得起且紧密,但可能缺乏HVM应用所需的亮度。当前存在诸如同步加速器光源(SLS)和X射线自由电子激光(XFEL)的高亮度X射线源,但其大小(>100m)和高成本(多于1亿欧元)使得其对于量测应用为过分的大的且昂贵的。类似地,缺少足够明亮的EUV和软X射线辐射源的可用性。
图4中描绘量测设备的一个示例,诸如散射仪。所述量测设备可以包括将辐射5投影至衬底W上的宽带(例如白光)辐射投影仪2。将反射或散射辐射10传递至光谱仪检测器4,所述光谱仪检测器测量镜面反射辐射的光谱6(即,作为波长λ的函数的强度I的测量结果)。根据该数据,可以通过处理单元PU例如通过严格耦合波分析和非线性回归或通过与如在图4的底部处所示出的模拟光谱库的比较来重构产生所检测的光谱的结构或轮廓8。通常,对于重构,结构的一般形式是已知的,并且从用于制造结构的过程的知识来假定一些参数,从而仅留下将从散射测量数据来确定的结构的几个参数。这种散射仪可以被配置成正入射散射仪或斜入射散射仪。
图5中描绘量测设备的示例的透射版本,诸如图4中示出的散射仪。透射辐射11传递至光谱仪检测器4,所述光谱仪检测器测量如针对图4所论述的光谱6。这种散射仪可以被配置成正入射散射仪或斜入射散射仪。可选地,使用波长<1nm,可选地<0.1nm、可选地<0.01nm的硬X射线辐射的透射版本。
作为对光学量测方法的替代方案,也已考虑使用硬X射线、软X射线或EUV辐射,例如具有以下波长范围中的至少一个的辐射:<0.01nm、<0.1nm、<1nm、在0.01nm与100nm之间、在0.01nm与50nm之间、在1nm与50nm之间、在1nm与20nm之间、在5nm与20nm之间和在10nm与20nm之间。量测工具在上文所呈现的波长范围中的一个中起作用的一个示例是透射小角度X射线散射(如US2007224518A中的T-SAXS,所述文献的内容以全文引用的方式并入本文中)。Lemaillet等人在“Intercomparison between optical and X-ray scatterometrymeasurements of FinFET structures”(Proc.of SPIE,2013年,8681)中论述使用T-SAXS的轮廓(CD)测量。应注意,激光产生等离子体(LPP)x射线源的使用在全文引用的方式并入本文中的美国专利公开号2019/003988A1和美国专利公开号2019/215940A1中描述。在掠入射下使用X射线(GI-XRS)和极紫外(EUV)辐射的反射测量术可以用于测量衬底上的膜和重叠层的性质。在一般反射测量术领域内,可以应用测角和/或光谱技术。在测角术中,可以测量在不同入射角下的反射束的变化。另一方面,光谱反射测量术测量在给定角度下反射的波长的光谱(使用宽带辐射)。例如,EUV反射测量术已在制造用于EUV光刻中的掩模版(图案形成装置)之前用于掩模基底的检查。
适用范围可能使例如硬X射线、软X射线或EUV域中的波长的使用是不足够的。已公开的专利申请US20130304424A1和US2014019097A1(Bakeman等人/KLA)描述混合量测技术,其中,将使用x射线进行的测量和利用在120nm与2000nm的范围内的波长的光学测量组合在一起以获得诸如CD之类的参数的测量结果。通过经由一个或更多个共同部分将x射线数学模型和光学数学模型耦合来获得CD测量结果。所列举的美国专利申请的内容以全文引用的方式并入本文中。
图6描绘其中前述辐射可以用于测量衬底上的结构的参数的量测设备302的示意性表示。图6中所呈现的量测设备302可以适用于硬X射线、软X射线和/或EUV域。
图6图示出量测设备302的示意性实体布置,所述量测设备包括可选地在掠入射中使用硬X射线、软X射线和/或EUV辐射的光谱散射仪,其仅作为示例。检查设备的替代形式可以被设置为呈角分辨散射仪的形式,所述角分辨散射仪可以使用与在较长波长的情况下操作的常规散射仪相似的呈正入射或近正入射的辐射,并且其也可以使用具有与平行于所述衬底的方向所成角度大于1°或2°的方向的辐射。检查设备的替代形式可以被设置呈透射散射仪的形式,图5中的配置应用至所述透射散射仪。
检查设备302包括辐射源或称为照射源310、照射系统312、衬底支撑件316、检测系统318、398和量测处理单元(MPU)320。
这种示例中的照射源310是用于产生EUV、硬X射线或软X射线辐射。照射源310可以基于如图6中示出的高阶谐波产生(HHG)技术,并且其也可以是其它类型的照射源,例如液体金属射流源、逆康普顿散射(ICS)源、等离子体通道源、磁波荡器源、自由电子激光(FEL)源、紧凑储存环源、放电产生等离子体源、软X射线激光源、旋转阳极源、固体阳极源、粒子加速器源、微聚焦源、或激光产生等离子体源。
HHG源可以是气体射流/喷嘴源、毛细管/光纤源或气体单元源。
对于HHG源的示例,如图6中示出的,辐射源的主要部件是可操作以发射泵浦辐射的泵浦辐射源330以及气体传递系统332。可选地,泵浦辐射源330为激光器,可选地,泵浦辐射源330为脉冲式高功率红外或光学激光器。泵浦辐射源330可以例如是具有光学放大器的基于光纤的激光器,从而产生每脉冲可持续例如小于1ns(1纳秒)的红外辐射的脉冲,其中,脉冲重复率根据需要达到数兆赫兹。红外辐射的波长可以是例如大约1μm(1微米)。可选地,激光脉冲作为第一泵浦辐射340传递至气体传递系统332,其中,与成发射辐射342的第一泵浦辐射相比,在气体中辐射的一部分转换成较高频率。气体供应件334将合适的气体供应给气体传递系统332,其中,合适的气体可选地由电源336离子化。气体传递系统332可以是切断管。
由气体传递系统332所提供的气体限定气体目标,其可以是气流或静态体积。所述气体可以是例如稀有气体,可选地包括氖气(Ne)、氦气(He)、氩气(Ar)、氮气(N2)、氧气(O2)、氪气(Kr)和氙气(Xe)中的一个或更多个。这些气体可以是同一设备内可选择的选项。发射辐射可以包括多个波长。如果发射辐射为单色的,则可简化测量计算(例如重构),但更容易产生具有若干波长的辐射。发射辐射的发射发散角可以是依赖于波长的。不同波长将例如在使不同材料的结构成像时提供不同水平的对比度。例如,为了检查金属结构或硅结构,可以将不同波长选择是用于成像(碳基)抗蚀剂的特征或用于检测这些不同材料的污染的波长。可以提供一个或更多个滤光装置344。例如,诸如铝(Al)或锆(Zr)薄膜之类的滤波器可以用于切断基谐IR辐射以免进一步传递至检验设备中。可以提供光栅(图中未示出)以从所产生的波长当中选择一个或更多个特定波长。可选地,照射源包括配置成待抽空的空间且气体传递系统被配置成在所述空间中提供气体目标。可选地,在真空环境内可以包括束路径中的一些或全部,应记住,SXR和/或EUV辐射在空气中行进时会被吸收。辐射源310和照射光学器件312的各种部件可以是可调的以在同一设备内实施不同量测“选配方案”。例如,可以使不同波长和/或偏振是可选择的。
依赖于在检查中的结构的材料,不同波长可以提供至下部层中的期望的程度的穿透。为了分辨最小器件特征以及最小器件特征当中的缺陷,短波长很可能是优选的。例如,可以选择介于0.01nm至20nm的范围内或可选地介于1nm至10nm的范围内或可选地介于10nm至20nm的范围内的一个或更多个波长。短于5nm的波长可以在从半导体制造中的所关注的材料反射时遭受非常低的临界角。因此,选择大于5nm的波长可以在较高入射角下提供较强信号。另一方面,如果检查任务是用于检测某一材料的存在例如以检测污染,则高达50nm的波长可以是有用的。
滤光束342可以从辐射源310进入检查腔室350,其中,包括所关注的结构的衬底W由衬底支撑件316保持以在测量位置处检查。所关注的结构被标记为T。可选地,检查腔室350内的气氛可以由真空泵352维持为接近真空,使得SXR和/或EUV辐射可以在无不当衰减的情况下穿过所述气氛。照射系统312具有将辐射聚焦至聚焦束356中的功能,并且可以包括例如二维曲面反射镜或一系列维曲面反射镜,如上文提及的已公开美国专利申请US2017/0184981A1(其内容以全文引用的方式并入本文中)中所描述的。执行聚焦以在投影至所关注的结构上时实现直径低于10μm的圆形或椭圆形斑S。衬底支撑件316包括例如X-Y平移平台和旋转平台,通过X-Y平移平台和旋转平台,可以使衬底W的任何部分在期望的方向上到达束的焦点。因此,辐射斑S形成在所关注的结构上。替代地或另外,衬底支撑件316包括例如倾斜平台,其可以使衬底W以某一角度倾斜来控制所关注的结构T上的聚焦束的入射角。
可选地,照射系统312将参考辐射束提供至参考检测器314,所述参考检测器可以被配置成测量滤光束342中的不同波长的光谱和/或强度。参考检测器314可以被配置成产生提供至处理器310的信号315,并且滤波器可以包括关于滤光束342的光谱和/或在滤光束中的不同波长的强度的信息。
反射辐射360由检测器318捕获且光谱被提供至处理器320以用于计算目标结构T的性质。照射系统312和检测系统318因此形成检查设备。这种检查设备可以包括属于内容的全文以引用方式并入本文中的US2016282282A1中所描述的种类的硬X射线、软X射线和/或EUV光谱反射计。
如果目标Ta具有某一周期性,则聚焦束356的辐射也可以被部分地衍射。衍射辐射397相对于入射角接着相对于反射辐射360以明确限定的角度遵循另一路径。在图6中,所绘制的衍射辐射397以示意性方式被绘制,并且衍射辐射397可以遵循除所绘制的路径以外的许多其它路径。检查设备302也可以包括检测衍射辐射397的至少一部分和/或对衍射辐射397的至少一部分进行成像的另外的检测系统398。在图6中,绘制了单个另外的检测系统398,但检查设备302的实施例也可以包括多于一个的另外的检测系统398,所述检测系统被布置于不同位置处以在多个衍射方向上对衍射辐射397进行检测和/或成像。换句话说,入射到目标Ta上的经聚焦的辐射束的(较高)衍射阶由一个或更多个另外的检测系统398检测和/或成像。一个或更多个检测系统398产生提供至量测处理器320的信号399。信号399可以包括衍射光397的信息和/或可以包括从衍射光397获得的图像。
为了辅助斑S与期望的产品结构的对准和聚焦,检查设备302也可以提供在量测处理器320的控制下使用辅助辐射的辅助光学器件。量测处理器320也可以与位置控制器372通信,所述位置控制器操作平移平台、旋转平台和/或倾斜平台。处理器320经由传感器接收关于衬底的位置和定向的高度准确的反馈。传感器374可以包括例如干涉仪,其可以给出大约数皮米的准确度。在检查设备302的操作中,由检测系统318捕获的光谱数据382被传递至量测处理单元320。
如提及的,检查设备的替代形式使用可选地处于正入射或近正入射的硬X射线、软X射线和/或EUV辐射,例如以执行基于衍射的不对称性测量。检查设备的另一替代形式使用具有与平行于实施衬底的方向所成角度大于1°或2°的方向的硬X射线、软X射线和/或EUV辐射。两种类型的检查设备都可以被提供在混合量测系统中。待测量的性能参数可以包括重叠(OVL)、临界尺寸(CD)、当光刻设备印制目标结构时光刻设备的聚焦、相干衍射成像(CDI)和分辨率处重叠(ARO)量测。硬X射线、软X射线和/或EUV辐射可以例如具有小于100nm的波长,例如使用介于5至30nm的范围内,可选地介于10nm至20nm的范围内的辐射。所述辐射在特性上可以是窄频带或宽带。所述辐射可以在特定波长带中具有离散峰值或可以具有更连续的特性。
类似于用于当今生产设施中的光学散射仪,检查设备302可以用于测量在光刻单元内处理的抗蚀剂材料内的结构(显影后检查或ADI),和/或用于在结构已以较硬材料形成之后测量所述结构(蚀刻后检查或AEI)。例如,在衬底已由显影设备、蚀刻设备、退火设备和/或其它设备处理之后,可以使用检查设备302来检查衬底。
包括但不限于上文提及的散射仪的量测工具MT可以使用来自辐射源的辐射以执行测量。由量测工具MT使用的辐射可以是电磁辐射。辐射可以是光辐射,例如电磁光谱的红外部分、可见光部分和/或紫外部分中的辐射。量测工具MT可以使用辐射以测量或检查衬底的性质和方面,例如半导体衬底上的经光刻曝光的图案。测量的类型和品质可以依赖于由测量工具MT使用的辐射的若干性质。例如,电磁测量的分辨率可以依赖于辐射的波长,其中,较小波长能够例如归因于衍射限制而测量较小特征。为了测量具有小尺寸的特征,可以优选地使用具有短波长的辐射,例如EUV、硬X射线(HXR)和/或软X射线(SXR)辐射,以执行测量。为了在特定波长或波长范围下执行量测,量测工具MT需要存取提供在所述/那些波长下的辐射的源。存在用于提供不同波长的辐射的不同类型的源。依赖于由源提供的波长,可以使用不同类型的辐射产生方法。对于极紫外(EUV)辐射(例如1nm至100nm),和/或软X射线(SXR)辐射(例如0.1nm至10nm),源可以使用高阶谐波产生(HHG)或逆康普顿散射(ICS)以获得在期望的波长下的辐射。
图7示出照射源310的实施例600的简化示意图,所述照射源可以是用于高阶谐波产生(HHG)的照射源。关于图6所描述的量测工具中的照射源的特征中的一个或更多也可以在适当时存在于照射源600中。照射源600包括腔室601且被配置成接收具有由箭头指示的传播方向的泵浦辐射611。在这样的示出的泵浦辐射611为来自泵浦辐射源330的泵浦辐射340的示例,如图6中示出。泵浦辐射611可以由辐射输入605引导至腔室601中,辐射输入605可以可选地由熔融硅石或可相当材料制成的观察口。泵浦辐射611可以具有高斯或中空(例如环形)横向横截面轮廓且可以入射(可选地聚焦)于腔室601内的气流615上,所述气流具有通过第二箭头指示的流动方向。气流615包括其中气体压力高于特定值的称作特定气体(例如稀有气体、可选地氦气、氩气、氙气或氖气、氮气、氧气或二氧化碳)的气体体积或气体目标的小体积(例如若干立方mm)。气流615可以是稳定流。也可以使用诸如金属等离子体(例如铝等离子体)的其它介质。
照射源600的气体传递系统被配置成提供气流615。照射源600被配置成在气流615中提供泵浦辐射611以驱动发射辐射613的产生。其中产生发射辐射613的至少大部分的区称作相互作用区。所述相互作用区可以从几十微米(用于紧密聚焦泵浦辐射)变化至几mm或cm(用于适度聚焦泵浦辐射)或甚至高达几公尺(用于非常松散聚焦泵浦辐射)。气体传递系统被配置成提供气体目标以用于在气体目标的相互作用区处产生发射辐射,并且可选地,照射源被配置成接收泵浦辐射并在相互作用区处提供泵浦辐射。可选地,气流615由气体传递系统提供至抽空或几乎抽空的空间中。气体传递系统可以包括气体喷嘴609,如图6中示出的,所述气体喷嘴包括在气体喷嘴609的出口平面中的开口617。气流615是从开口617提供。在几乎所有现有技术中,气体喷嘴具有切断管几何结形貌状,其为均匀圆柱体内部几何结形貌状,并且出口平面中的开口的形状为圆形。如专利申请CN1 01515105B中所描述的,也已使用细长开口。
气体喷嘴609的尺寸可想像地也可以用于范围介于微米大小喷嘴至公尺大小喷嘴的按比例增大或按比例缩小的版本中。这种广泛范围的尺寸设计来自如下事实:可以缩放设置使得气流处的泵浦辐射的强度最终处于可以对发射辐射有益的特定范围内,这需要针对可以是脉冲激光器的不同泵浦辐射能量的不同尺寸设计,并且脉冲能量可以在数十微焦耳至数焦耳之间变化。可选地,气体喷嘴609具有较厚壁以减少由可以由例如相机所检测的热膨胀效应引起的喷嘴变形。具有较厚壁的气体喷嘴可以产生变化减少的稳定气体体积。可选地,照射源包括接近气体喷嘴以维持腔室601的压力的气体捕获器。
归因于泵浦辐射611与气流615的气体原子的相互作用,气流615将使泵浦辐射611的部分转换成发射辐射613,所述发射辐射613可以是图6中示出的发射辐射342的示例。发射辐射613的中心轴线可以与入射泵浦辐射611的中心轴线共线。发射辐射613可以具有在X射线或EUV范围中的波长,其中,波长是在0.01nm至100nm、可选地0.1nm至100nm、可选地1nm至100nm、可选地1nm至50nm或可选地10nm至20nm的范围内。
在操作中,发射辐射613束可以穿过辐射输出607,并且可以随后通过照射系统603操控和引导至待检查以用于量测测量的衬底,照射系统603可以是图6中的照射系统312的示例。发射辐射613可以被引导(可选地聚焦)至衬底上的结构。
因为空气(和实际上任何气体)很大程度上吸收SXR或EUV辐射,所以气流615与待检查的晶片之间的体积可以被抽空或几乎抽空。由于发射辐射613的中心轴线可以与入射泵浦辐射611的中心轴线共线,因此泵浦辐射611可能需要被阻挡以防止其穿过辐射输出607和进入照射系统603。这可以通过将图6中示出的滤光装置344并入至辐射输出607中来进行,所述辐射输出放置在所发射射束路径中且对于泵浦辐射不透明或几乎不透明(例如对红外或可见光不透明或几乎不透明)但对发射辐射束至少部分透明。可以使用在多个层中组合的锆或多种材料来制造所述滤波器。当泵浦辐射611具有中空(可选地环形)横向横截面轮廓时,所述滤波器可以是中空(可选地环形)块体。可选地,所述滤波器不垂直且不平行于发射辐射束的传播方向,以具有高效泵浦辐射滤光。可选地,所述滤光装置344包括中空块体和诸如铝(Al)或锆(Zr)膜滤波器之类的薄膜滤波器。可选地,所述滤光装置344也可以包括有效地反射所述发射辐射但不良地即较差地反射所述泵浦辐射的反射镜,或包括有效透射所述发射辐射但不良地即较差地透射所述泵浦辐射的丝网。
本文中描述用于获得可选地在泵浦辐射的高阶谐波频率下的发射辐射的方法、设备和组件。经由过程(可选地使用非线性效应以产生在所提供泵浦辐射的谐波频率下的辐射的HHG)产生的辐射可以作为辐射被设置在量测工具MT中以用于衬底的检查和/或测量。如果泵浦辐射包括短脉冲(即,少数循环),则所产生的辐射不必准确在泵浦辐射频率的谐波处。衬底可以是经光刻图案化的衬底。经由过程获得的辐射也可以被设置在光刻设备LA和/或光刻单元LC中。
泵浦辐射611可以包括具有高于发射辐射的一个或更多个波长的一个或更多个波长的辐射。泵浦辐射可以包括红外辐射。泵浦辐射可以包括具有介于500nm至1500nm的范围内的波长的辐射。泵浦辐射可以包括具有介于800nm至1300nm的范围内的波长的辐射。泵浦辐射可以包括具有介于900nm至1300nm的范围内的波长的辐射。泵浦辐射可以是脉冲辐射,其可以在短时间丛发内提供高峰值强度。脉冲式泵浦辐射可以包括具有在皮秒或飞秒范围中的持续时间的脉冲。
对于一些实施例,发射辐射(可选地高阶谐波辐射)可以包括具有泵浦辐射波长的一个或更多个谐波。发射辐射可以包括在极紫外、软X射线和/或硬X射线部分电磁光谱中的波长。发射辐射613可以包括在以下范围中的一个或更多个中的波长:小于1nm、小于0.1nm、小于0.01nm、0.01nm至100nm、0.1nm至100nm、0.1nm至50nm、1nm至50nm和10nm至20nm。
诸如以上所描述的高阶谐波辐射的辐射可以被提供为量测工具MT中的源辐射。量测工具MT可以使用源辐射以对由光刻设备曝光的衬底执行测量。所述测量可以用于确定衬底上的结构的一个或更多个参数。相比于使用较长波长(例如可见光辐射、红外辐射),使用在较短波长下(例如在如上文所描述的波长范围内所包括的EUV、SXR和/或HXR波长下)的辐射可以利用通过量测工具分辨结构的较小特征。具有较短波长的辐射,诸如EUV、SXR和/或HXR辐射,也可以更深地穿透至诸如经图案化的衬底的材料中,这意味着衬底上的较深层的量测是可能的。这些较深层可能不可以通过具有较长波长的辐射到达。
在量测工具MT中,源辐射可以从辐射源发射且被引导至衬底上的目标结构(或其它结构)上。源辐射可以包括EUV、SXR和/或HXR辐射。目标结构可以反射、透射和/或衍射入射到目标结构上的源辐射。量测工具MT可以包括用于检测衍射辐射的一个或更多个传感器。例如,量测工具MT可以包括用于检测正(+1)和负(-1)衍射阶的检测器。量测工具MT也可以测量镜面反射或透射辐射(0阶衍射辐射)。用于量测的其它传感器可以存在于量测工具MT中以例如测量其它衍射阶(例如,较高阶衍射)。
用于执行测量的所述发射辐射的性质可能影响所获得的测量结果的品质。例如,所述辐射束的横向束轮廓(横截面)的形状和大小、所述辐射的强度、所述辐射的功率谱密度等可能影响由所述辐射执行的所述测量。因此,具有提供具备引起高品质测量的性质的辐射的源是有益的。
辐射源的实施例可以包括图7中的HHG源600、所述泵浦辐射源以及可选地可以是脉冲压缩系统的组件。所述泵浦辐射源可以包括高功率激光源,其可以产生具有高于100W(可选地,高于1000W)的功率的辐射脉冲。所述脉冲压缩系统可以被放置在所述泵浦辐射源与所述HHG源之间,并且其在长度上压缩由所述泵浦辐射源所产生的所述辐射脉冲。
有效HHG需要所述辐射脉冲是可选地具有小于一百或数十飞秒(fs)的持续时间的超短波,这是因为较长脉冲的应用导致过多等离子体形成,其对于实现所述气体目标中的适当相速度(称作相位匹配)和保留所述泵浦辐射的有益空间形状这两者是有害的。因此,对于HHG源、以及许多其它类型辐射源,可能需要由所述脉冲压缩系统来准备输入辐射。例如,在1030nm的情况下操作的镱激光器(其是示出最可能用于快速按比例增大平均功率的激光器中的一种)通常产生约0.5皮秒(PS)持续时间的辐射脉冲。因此,所述脉冲压缩系统是将所述辐射脉冲压缩可选地至少10倍所必需的。
若干类型的脉冲压缩系统存在以相同原理进行的操作:1)激光束被传播通过具有非线性光学性质的非线性介质,这导致激光束的频谱加宽但也导致色散;2)通过施加依赖于频率的延迟即频率相关延迟至所述激光束来消除色散以使得所述激光束的全部频率分量在时间上重叠。可选地,啁啾反射镜在步骤2)中用于所述频率相关延迟。
步骤1)的架构的示例可以是气体填充的即充气的毛细管、固体目标,或多通道单元(MPC)。所述MPC(其至少一部分在图8中被示出为800)由于其高透射率(可选地大于90%)因而是良好的候选以用于按比例扩展至HVM系统,高透射率意味着仅仅相对较小量的激光束能量被损失并且在所述脉冲压缩系统中被转换成热。出于热负载和稳固性即鲁棒性的原因,如果所述脉冲压缩系统将要在其中所述泵浦辐射的功率按比例增大至kW水平或更高的情形中存在即耐受住,则这可以是一必要的即基本的性质。
在图8中所示的实施例中,所述MPC包括利用具有非线性光学性质的MPC气体802来填充的增压腔室801、辐射束输入806、辐射输出804、和光学器件(808、820和822)。可选地,所述光学器件可以包括多个对准光学器件808,和两个相对的反射镜820和822,输入辐射束830在这两个相对的反射镜之间循环多次。可选地,所述光学器件还可以包括没有在图8中示出的透射型光学器件和/或反射镜和/或透镜,以用于透射和或反射所述输入辐射束830。应注意,在图8中,两个相对的反射镜820与822之间仅发生了少量反射,这仅仅是出于图示目的,而实际上反射的数目可能超过40次。可选地,所述输入辐射束830具有在IR区内的波长。在一个示例中,所述光学器件(尤其是两个相对的反射镜820和822)被配置成使得所述输入辐射束830在每次传递时被聚焦在两个相对的反射镜820和822的中心附近,以使得在作为所述两个相对的反射镜820和822的中心聚焦区的所述中心附近创建即产生高强度。在一个示例中,所述两个相对的反射镜820和822可以是空腔反射镜,在其之间所述输入辐射束传递多次。在每次传递时,所述MPC气体802的非线性性质导致少量的频谱加宽,以而使得全部传递的组合效应导致用于脉冲压缩的足够的频谱加宽。所述MPC气体可以是稀有气体,其具有其不容易电离的性质,从而限制了等离子体的有害形成。所述MPC气体可以是例如稀有气体,可选地包括氦气(He)、氖气(Ne)、氩气(Ar)、氪气(Kr)和氙气(Xe)中的一种或更多种。
使用所述MPC的缺点是,在操作中,所述光学器件(并且具体地两个相对的反射镜820和822)被曝光于具有高强度的所述输入辐射束。相关量可以是通量,其被定义为每单位表面积的脉冲能量。通常,所述通量的值在J/cm2范围内,其常常小于比所述光学器件的损害阈值更低一个数量级。原则上,两个相对的反射镜820和822上的高通量可以通过将它们放置得更远离所述高强度来减小,所述高强度都可以是中心聚焦区,但这可能会使所述脉冲压缩系统不太紧凑且也需要使用大直径反射镜,这两者均会抬高所述MPC的成本。紧凑型和竞争性设计因此可能导致相对高的通量。在高通量的情况下在所述光学器件的表面处出现的问题是激光引发的污染物(LIC)。在一个示例中,存在于所述增压腔室801中的挥发性烃可能沉积在所述光学器件上,从而形成一层(其可选地是碳层)。所述层比良好品质的涂层更具吸收性,从而导致反射率随时间的稳步下降。因为所述MPC可以涉及许多镜面反射,则这导致所述辐射束的所述高强度的稳步降低。通常,当利用100W数量级的功率的所述输入辐射束来运行时束功率的损失是在每周一个百分比的范围中,这是不可接受程度的大的劣化率。除了所述束功率的损失之外,所吸收的功率在所述输入辐射束斑的位置处在本地加热所述光学器件也是有问题的,这可能地引起所述光学器件损害和输入辐射束不稳定性。这些严重地限制了所述输入辐射束的可用性,即使所述束功率的损失不大于百分之几。
若干技术已经被开发以减轻LIC或从LIC恢复。第一参考文件,应用光学器件Vo1.60,第3,533期(2021年)(其以全文引用的方式而被合并入本文中)探索用于从反射镜表面清洁碳沉积的技术,包括将来自汞灯的UV辐射施加至以大气压空气填充的腔室中的所述反射镜表面。该机制是所述UV辐射具有充分高的光子能以从周围环境中的氧气产生臭氧。所产生的臭氧是高度反应性分子,其可以与碳沉积反应以进一步产生挥发性氧化物,并且进一步产生挥发性氧化物可以被泵离即被泵送离开。然而,第一参考文件中所提及的所述方法不能在局部清洁所述反射镜且所述UV辐射可能照射在所述腔室的其它部分上并且使得材料劣化。
由此报告组件实施例,其可以用于在局部清洁光学元件的表面区域。介质(其可以是固体或气体)被放置在一空间中,所述空间可以在所述增压腔室801的内部或外部。在操作中,固体或气体介质接收第一辐射以用于可选地经由HHG过程产生第二辐射。可选地,所述第二辐射具有比所述第一辐射更短的波长。可选地,所述第二辐射在所述介质之后与所述第一辐射同轴地传播以照射到所述光学元件上,所述光学元件被放置在所述介质之后。可选地,所述光学元件用于利用所述表面区域透射或反射所述第一辐射。可选地,在操作中,清洁气体与所述表面区域接触,并且反应性介质由所述第二辐射从所述清洁气体的一部分产生以用于从所述表面区域移除污染物。
在图9中图示出谐波产生组件900,其可以用于产生所述第二辐射,例如用以借助于固体介质将所述输入辐射束902的小部分转换成其三次谐波辐射924。在此实施例中,所述输入辐射束902的一小部分在第一晶体901中首先被转换成其二次谐波辐射912,接着所述二次谐波辐射912和所述输入辐射束902借助于第二晶体903和第三晶体905而重叠。随后,所述三次谐波辐射924是在第四晶体907中产生。所述第二晶体903的功能是使得所述输入辐射束902和所述二次谐波辐射912相互重叠并且共享相同的束路径。所述第二晶体903可以是延迟补偿板。所述输入辐射束902和所述二次谐波辐射912可以在所述第二晶体903内部给出不同的传播速度且可之后在时间上重叠。在一个实施例中,所述第三晶体905将旋转所述二次谐波辐射912的偏振且可以不产生任何辐射。可选地,所述晶体901和907是β硼酸钡即偏硼酸钡(BBO)。实施例900的优点是所述三次谐波产生(THG)的转换效率是相对较高的,例如高于四次谐波产生(FHG)。
在一个实施例中,三次谐波辐射924在从100nm至260nm的波长范围内,使得其可以不被所述MPC气体吸收且可以用于产生所述反应性介质(例如臭氧)。可选地,所述三次谐波辐射924可以具有在UV范围中的波长,并且可选地,转换效率是在0.1%至10%的范围中。对于100W激光器,其意味着可以产生具有在0.1W至10W范围中的功率的UV束,所述功率与用于清洁的可市面上购得的UV灯(例如上文提及的汞灯)的功率(几瓦)可比即相当。可选地,所提及的所述第二辐射可以具有在0.01W至10W(可选地,0.01W至1W,和可选地,0.01W至0.1W)的范围内的功率。可选地,可以在发送所述输入辐射束902至所述增压腔室801中之前进行三次谐波转换。结果是,除所述输入辐射束902之外,UV束(其可以具有较低强度,并且在所述组件900之后与所述输入辐射束902同轴地传播)也被发送至所述增压腔室801内。当一定量的清洁气体(例如氧气)存在于所述增压腔室801中并且与所述反射镜接触时,UV束可以与所述清洁气体反应以产生所述反应性介质(例如臭氧)以用于清洁所述反射镜表面,而同时所述输入辐射束902的脉冲可以如预期由所述MPC压缩。这种实施例相比于上文所提及的现有技术(例如UV灯)的益处包括:
1)利用图9的实施例所产生的UV束被设置呈所述输入辐射束902的类似形状,并且因此被集中。另外,UV束与所述输入辐射束902共同传播,使得其可以自动地、准确地、且独占地照射所述输入辐射束902击中所述光学元件处所在的所述表面区域。由此,在所述光学元件需要被清洁处准确地提供具有集中强度的UV辐射。与使用UV灯相比,此报告中的实施例使得能够局部地对所述光学元件进行清洁且可以不会劣化MPC材料,例如所述增压腔室801的内壁。
2)对所述输入辐射束902的清洁过程和脉冲压缩可以潜在地同时执行,这意味着这种方法适合作为一种联机方法。相比之下,当使用UV灯时,可能需要停止所述输入辐射束,打开所述增压腔室801,并且使UV灯接近于所述表面区域以供实现足够高的清洁率。
在图10中图示出谐波产生组件1000,其可以用于借助于所述固体介质将所述输入辐射束1002的小部分转换成其四次谐波辐射1024。酌情地,关于图9所描述的实施例900的特征中的一个或更多个特征也可以存在于实施例1000中。在此实施例1000中,所述输入辐射束1002的一小部分在第一晶体1001中首先被转换成其二次谐波辐射1012,接着在第二晶体1003中,所述二次谐波辐射1012的部分被转换成所述输入辐射束1002的四次谐波辐射1024,其可以是UV辐射。
产生所述四次谐波的益处是,其具有比所述三次谐波更短的波长,并且更大能量的光子在产生所述反应性介质时是更高效的。在实施方式方面,考虑到所涉及晶体的数目,则实施例1000可能更容易。当使用200μm厚BBO晶体时,谐波产生过程的典型效率可以在5%至30%之间,其可以在所述表面区域处产生足够UV辐射以清洁所述LIC,可选地为在存在氧气的情况下的烃。BBO优于其它晶体的优点是它的大相位匹配带宽(其促进较短的脉冲),但可原则上使用全部晶体。不同晶体的各种组合也可以是可能的。
图9和图10中的晶体可以是任何非线性晶体,其可以在相位匹配时产生所述输入辐射束的谐波。以下是可以用于产生低阶谐波的晶体的列表:
·β硼酸钡即偏硼酸钡-BBO
·磷酸二氘钾及其同晶型体-KDP、KD*P(DKDP)晶体
·三硼酸锂-LBO
·磷酸钛氧钾-KTP晶体
·硼酸铯锂-CLBO晶体
每个晶体可以按照特定角度切割以相位匹配所述输入辐射束及其二次谐波辐射、三次谐波辐射、四次谐波辐射或五次谐波辐射。也可以使用晶体的不同组合。例如,当所述输入辐射束具有1030nm的波长时,则LBO可以被用于产生515nm辐射且接着BBO可以用于通过将515nm辐射频率加倍而产生256nm辐射。例如,当所述输入辐射束具有1030nm的波长时,所述第一晶体可以将1030nm辐射部分地转换成515nm辐射且所述第二晶体可以产生343nm辐射。所述343nm辐射和所述515nm辐射可以在第三晶体上被组合以产生205nm辐射。
当在所述MPC的上游产生所述UV辐射时,UL辐射可能难以透射所述辐射束输入806,其由诸如熔融二氧化硅或可比的即相当的材料之类的材料所制成。UV辐射即紫外线辐射也难以透射介于谐波产生与需要被清洁的所述表面区域之间的任何其它光学器件。一种替代方案是使用一种其中用于THG或FHG的所述谐波产生组件被放置在所述增压腔室801内部的实施例。在一个实施例中,所述谐波产生组件被放置在所述输入辐射束的束路径中并且被放置在其中其不会显著地影响所述MPC的频谱加宽操作的位置处。这种位置可以在所述辐射束输入806附近以使得所述UV辐射在待清洁的所述光学器件上游被产生。在另一实施例中,所述谐波产生组件被放置在平移平台上,所述平移平台可以在清洁循环期间被移动至所述输入辐射束的束路径中,并且在所述频谱加宽操作期间被移出所述束路径。可选地,所述束路径中的位置可以在两个相对的反射镜820与822之间,因此存在许多次穿过所述谐波产生组件的传递。因此,可以产生大量UV辐射,并且可以为两个相对的反射镜820和822上的每次弹跳即反弹提供多个单独地产生的UV辐射脉冲。
另外的实施例是一种组件,在该组件中,气体介质与所述清洁气体混合以在所述清洁循环期间替换在增压腔室801中的MPC气体。所述气体介质可以通过与在所述MPC的中心聚焦区处的合适的气体物质的非线性相互作用将输入辐射束的一部分转换成其三次谐波或五次谐波或任何其它谐波。所述气体介质可以是例如稀有气体,可选地包括氖气(Ne)、氦气(He)、氩气(Ar)、氮气(N2)、氧气(O2)、氪气(Kr)和氙气(Xe)中的一种或更多种。
一些气体当被施加至充分高强度的辐射时发射三次谐波辐射。使用所述气体介质的益处是,其可以在不另外添加光学元件的情况下在MPC中实施。在第二参考文件,即,应用光学器件45,748(2006年),R.A.Ganeev等人的“THG in air by use of femtosecondradiation in tight-focusing conditions”(其以全文引用的方式而被合并入本文中)中,已依据实验参数研究了通过将激光束聚焦至空气中而实现的THG的效率。可推断THG转换效率(CE)大致缩放为
CE∝p2I4
其中p是气体压力,并且I是输入辐射束强度。所述第二参考文件主张在2e14W/cm2的输入辐射束强度的情况下的1e-3的CE。在MPC中,焦点区中的强度较低,典型地在数倍的1e13W/cm2范围内。给定上述方程中的标度,当在类似于第二参考文件中的条件下使用空气时,则MPC中的THG的CE将会是大约1e-7。应注意,THG是仅出于说明目的而被提及,而同时所述机构起作用也用于谐波产生的其它阶。存在着MPC中具有气体介质的THG可以大致更高效的两个原因。第一,所述第二参考文件表明,所提及的大多数测量在102至104Pa之间的压力下进行,而MPC中的压力典型地是多个巴。给定上述方程中的压力标度,MPC中的CE可以是至少一个数量级但更可能高出若干个数量级。第二,空气不是对于THG的最优介质。例如,氙气因其有利的非线性性质而闻名。除了在上述方程中的标度外,THG中的CE根据第三参考文件即Opt.Comm.56,67(1985年),H.J.Lehmeier等人的“Nonresonant third orderhyperpolarizability of rare gases and N2 determined by THG”(所述第三参考文件以全文引用的方式而被合并入本文中)而随原子特性缩放:
其中γ(3)是气体的三阶超偏振率,并且n1和n3分别是所述气体的针对泵浦辐射和三次谐波辐射的折射率。此方程中的分子表示原子转换所述泵浦辐射的效率。分母近似地解释了由于所述泵浦辐射的相速度高于所产生的三次谐波辐射的相速度而导致的总效率的减小。使用第三参考文件中的测量值用于上述方程的右侧的量,则氙气的THG效率是空气的约5倍高。这种效率差已由第三参考文件中的测量结果确认。因此,可以合理地预期到,当在多巴压力的情况下在合适的气体中操作时,可以在MPC中获得约1e-5至1e-4的THG效率。类似于具有固体介质的实施例,这可能导致UV束与所述输入辐射束同轴地传播。当适合的量的所述清洁气体(例如氧气)与所述气体介质混合时,这可能导致由所产生的反应性介质(例如臭氧)正好在所述输入辐射束击中所述光学元件处的表面区域处对所述光学元件进行清洁。
除了氧气以外,呈气相的其它材料也可以用作清洁气体。例如,所述清洁气体可以包括H2O、NO2、CO2、H2O2和H2中的一种或更多种。在反应过程期间,NO2、CO2可以放弃O即与O分离以转变成气态NO和CO。H2O2与H2O相比甚至可能更容易放弃O即与O分离,具有更高的露点,在气相中花费比在所述增压腔室801的内壁上被吸收的时间更多的时间,并且有助于在其沉积之前氧化CxHy。H2可以产生足够量H*以用于移除L1C。在报告中氧气仅用作示例以用于图示目的。以摩尔分数计,MPC气体中的所述清洁气体小于10%、可选地小于5%、可选地小于1%,和可选地小于0.1%。
与气体介质的实施例相比,所述固体介质可能被具有高功率的输入辐射束损伤,造成不想要的反射,并且导致束变形。固体介质实施例的优点是可以产生具有较高功率的UV辐射。气体介质实施例的缺点是:其可能需要多个单独的清洁循环,在单独的清洁循环期间所述增压腔室801被所述气体介质和氧气混合物冲洗,并且所述MPC的正常操作可以被中断。在所述固体介质实施例中,MPC操作和清洁循环两者可潜在地同时执行。
进一步的实施例是一种其中具有气体介质与MPC气体802混合或利用所述气体介质替换所述MPC气体的组件。在这种实施例中,在正常操作(频谱加宽)期间的所述MPC气体与在清洁循环(THG)期间与所述清洁气体混合的气体介质之间不存在气体改变,但所述增压腔室801由折衷混合物填充,所述折衷混合物导致足够的频谱加宽、而同时也导致低但稳定水平的THG用于L1C清洁。可选地,所述折衷混合物的大部分可以是所述MPC气体,并且所述折衷混合物包括具有足够低浓度的少量清洁气体以便不干扰频谱加宽操作。因此,所述清洁气体的含量应足够高以产生充分高的清洁率,但也应足够低以便不会不利地影响所述输入辐射束的频谱加宽操作,这是所述MPC的主要功能。过多清洁气体可能蚀刻所述MPC的部件且清洁气体的分压可能需要在某一区即特定区域内以实施足够清洁而同时避免不想要的蚀刻。例如,所述增压腔室内部的所述折衷混合物可以具有100毫巴至数十巴的压力且所述气体混合物中的氧气小于10%、可选地小于5%、可选地小于1%,和可选地小于0.1%。此实施例的益处是在所述频谱加宽操作期间不断地(可选地,以低速率)发生所述清洁。效果可以是防止所述表面区域上的LIC积聚且所述光学元件保持清洁。
另外的实施例包括如图11中所示的组件1100。所述组件1100可以用于LIC清洁的谐波产生,可选地,输入辐射束1130的三次谐波或五次谐波。所述输入辐射束1130可以是图8中的所述输入辐射束830的一个示例。所述组件1100包括两个相对的反射镜1122和1120,所述输入辐射束1130在两个相对的反射镜1122和1120之间循环许多次。两个相对的反射镜1122和1120可以是图8中的两个相对的反射镜820和822的一个示例。所述组件1100还可以包括可以是图7中的气体喷嘴609的一个示例的气体喷嘴1109。所述气体喷嘴可以接近于如上文所提及的中心聚焦区而放置且可以传递气流1115,所述气流可以是图7中所示的气流615的一个示例。可选地,所示气流具有由箭头所指示的流动方向。可选地,气体捕获器1104是在流动方向上并且接近于所示气体喷嘴1109以抽吸气体分子。气体捕获器1104是可选的,且存在不具有所述气体捕获器1104的实施例。气体喷嘴1109可以提供具有高压的气流,低阶谐波(例如三次谐波或五次谐波)从所述高压产生。所述谐波产生过程的效率可以在10-5至10-2范围内。在MPC中,由4巴Ar产生的UV辐射的强度可以足以在存在所述清洁气体(可选氧气)的情况下清洁所述光学器件。这种实施例能够使得产生具有比具备气体介质的上文提及的实施例甚至更高的强度的UV辐射且能够使得从气体介质(即气流1115)产生UV辐射,所述气体介质可以是与所述MPC气体不同的气体。这种实施例1100可以被实施于MPC(或MPC的部分)800或适于谐波产生或具有两个相对的反射镜的任何其它组件中。在一个实施例中,所述组件是在以单元气体填充的单元内部,并且所述气流具有与所述单元气体相同的气体。在一个实施例中,所述组件是在以单元气体填充的单元内部,并且所述气流具有与所述单元气体不同的气体。
上文提及的实施例依赖于由所述输入辐射束自身实现的谐波产生,由此避免引入外部清洁装备(诸如UV灯或等离子体产生器)至MPC中以及仅将LIC局部地对准所述输入辐射束击中即命中所述光学元件处所在的表面区域处即局部地以该表面区域处为目标。这些实施例因此可以比现有技术更便宜且更高效。
虽然三次谐波产生、四次谐波产生和五次谐波产生已在上述文字中被提及,但应理解所描述的谐波阶仅是说明性的即例示性的。将了解到,本发明不限于任何特定谐波阶次。
在实施例中,为防止LIC(可选地,烃沉积),指型冷冻器(cold finger)或冷凝管被放置在气体单元内部。所述指型冷冻器的工作原理是,真空单元内部的分子可以在击中所述指型冷冻器的表面时黏着至所述指型冷冻器的表面,以使得分子在所述指型冷冻器的表面上并且所述真空单元内部的真空得以改善。当气体单元的压力较低时,分子可以不约而同地即同时地撞击即击中所述指型冷冻器的表面;而当压力较高时,流动可以被产生以引导分子至表面并且被吸附。在气体单元中,所述指型冷冻器可以是具有比所述气体单元的内壁更低的温度或具有比所述气体单元内部的气体更低的温度的单件材料或一片材料。在这种实施例中,目标不是移除分子,而是对在污染物沉积在光学元件上之前可以从气体单元内部的气体引起LIC的污染物进行移除,而同时使气体不受影响。可选地,所述气体单元是所述增压腔室801且所述气体单元内部的气体是MPC气体802。
所述指型冷冻器的表面上的分子的滞留时间随所述指型冷冻器的所述表面的温度降低而增加。较长的滞留时间可以降低所述气体单元中的分子的分压。除了所述滞留时间以外,决定所述指型冷冻器工作如何(即所述指型冷冻器的工作效果)的另一方面是某一气体的分子是否黏着至所述指型冷冻器,这由其蒸汽压材料性质控制。每种材料具有温度相关的蒸汽压。例如,对于水,当温度为100度时此压力为1巴,因此在正常情形下水在该温度发生沸腾。然而,当环境压力较低时,水分子可以较容易地从液体逃逸,并且水在较低温度发生沸腾。在20度的情况下水蒸气压力已下降至24毫巴,并且在125K的情况下差不多下降至零。类似的情况适用于钢上的污染物(例如烃)。当钢的温度较低时,则黏着在钢的表面上的烃可以不具有足够能量来克服吸附能量。因此,一旦分子或污染物黏着于所述指型冷冻器的表面上,则它们可以不逃逸。
一些气体(例如一些轻的稀有气体和氢气)甚至在低温情况下具有高蒸气压力。因此,当指型冷冻器或冷凝器被放置在具有高压轻稀有气体或氢气的气体单元中时,轻稀有气体或氢气仍然可以保持处于高压但其它分子(例如所述污染物)可以被所述指型冷冻器收集。例如,对于氩气,当温度高于约-175度时蒸汽压高于3巴。换句话说,在以3巴氩气填充的MPC中,所述指型冷冻器可以在低至-175度的温度的情况下操作而不产生任何不需要的氩气冷凝。类似地,所述指型冷冻器可以在降至低温温度的情况下在大多数稀有气体中在数个巴的压力的情况下被操作。
上文提及的指型冷冻器可以单独地或与其它实施例(例如用于从具有气体介质或固体介质的光学元件的表面区域移除污染物的实施例)组合实施。
上文提及的实施例可以用于与毛细管集成的MPC、超连续谱光源和可选地使用EUV辐射的光刻设备。上文提及的实施例也可以用于清洁光学元件(例如毛细管结构(可选地,用于HHG的毛细管)中的窗口)。上文提及的实施例也可以用于对可选地使用EUV辐射源的所述光刻设备的光学元件清洁以及超连续谱光源光学器件清洁。上文提及的实施例可以用于在操作中包括光学元件和辐射束的任何设备或系统。
所述照射源可以被设置在例如量测设备MT、检查设备、光刻设备LA和/或光刻单元LC中。
上文提及的实施例全部可以在用于从光学元件的表面区域移除污染物的方法中使用。第一步骤是输入第一辐射(例如所述输入辐射束)至介质中以可选地经由HHG产生第二辐射。可选地,所述第二辐射与所述第一辐射同轴地传播并且入射至在所述介质之后的所述光学元件的表面区域上。可选地,所述光学元件的所述表面区域透射或反射所述第一辐射。所述第二步骤是提供与所述表面区域接触的清洁气体且第三步骤是通过所述第二辐射从所述清洁气体的至少一部分产生反应性介质以用于从所述表面区域移除污染物。如果适用,所述方法可以包括在上述实施例中的任一个实施例中所描述的特征中的任一个特征。
在另一实施例中,存在其中包括机器可读指令的非暂时性计算机程序产品。所述指令在由计算机系统执行时被配置成使得所述计算机系统至少执行如上文提及的实施例中任一个实施例的方法。
在后续编号的方面中披露另外的实施例:
1.一种组件,包括:
空间,所述空间被配置用于放置介质以接收第一辐射,所述第一辐射用于产生第二辐射,使得在操作中所述第二辐射在所述介质之后与所述第一辐射同轴地传播;和
光学元件,所述光学元件位于所述介质之后以用于利用表面区域透射或反射所述第一辐射,
其中,所述组件被配置成使得在操作中清洁气体与所述表面区域接触,和
其中,反应性介质是通过所述第二辐射从所述清洁气体的至少一部分产生的,以用于从所述表面区域移除污染物。
2.根据方面1所述的组件,其中,所述介质是气体介质。
3.根据方面2所述的组件,其中,所述气体介质包括氖气、氦气、氩气、氮气、氧气、氪气和氙气中的一种或更多种。
4.根据方面1所述的组件,其中,所述介质是固体介质。
5.根据方面4所述的组件,其中,所述固体介质包括非线性晶体。
6.根据前述方面中的任一项所述的组件,其中,所述清洁气体包括O2、H2O、NO2、CO2、H2O2和H2中的一种或更多种,并且可选地按照摩尔分数,所述气体介质与所述清洁气体的混合物中的所述清洁气体小于10%、可选地小于5%、可选地小于1%和可选地小于0.1%。
7.根据前述方面中任一项所述的组件,其中,所述第一辐射具有在红外范围内的第一波长。
8.根据前述方面中任一项所述的组件,其中,所述第二辐射具有在100nm至260nm范围内的第二波长。
9.根据前述方面中任一项所述的组件,其中,所述第二辐射具有在0.01W至10W范围内的功率。
10.根据前述方面中任一项所述的组件,其中,所述组件被配置成压缩所述第一辐射的脉冲。
11.根据前述方面中任一项所述的组件,其中,所述污染物是激光引发的污染物,可选地为碳层。
12.根据前述方面中任一项所述的组件,其中,所述第二辐射是经由三次、四次或五次谐波产生过程而产生的。
13.根据前述方面中任一项所述的组件,其中,所述组件是多通道单元。
14.根据前述方面中任一项所述的组件,其中,所述光学元件是反射镜和/或透镜。
15.根据前述方面中任一项所述的组件,还包括指型冷冻器。
16.根据方面2至15中任一项所述的组件,还包括用于将气流作为所述气体介质进行传递的气体喷嘴。
17.根据方面16所述的组件,其中,所述气体喷嘴被放置成邻近于两个相对的反射镜的中心聚焦区。
18.根据方面16或17所述的的组件,还包括用于抽吸所述气流的气体分子的气体捕获器。
19.根据方面16至18中任一项所述的组件,其中,所述组件位于由单元气体填充的单元内部,并且其中,所述气流具有与所述单元气体不同的气体。
20.一种辐射源,包括:
泵浦辐射源,
根据方面1至19中任一项所述的组件,和
高阶谐波产生源,
其中所述组件被放置在所述泵浦辐射源与所述高阶谐波产生源之间以压缩由所述泵浦辐射源产生的所述第一辐射的脉冲。
21.根据方面20所述的辐射源,其中,所述泵浦辐射源是镱激光器,所述镱激光器可选地在1030nm处进行工作。
22.一种量测设备,包括根据方面1至19中任一项所述的组件或根据方面20或21所述的辐射源。
23.一种光刻设备,包括根据方面1至19中任一项所述的组件或根据方面20或21所述的辐射源。
24.一种光刻单元,包括根据方面1至19中任一项所述的组件或根据方面20或21的辐射源。
25.一种方法,包括:
将第一辐射输入至介质中以产生第二辐射,使得所述第二辐射在所述介质之后与所述第一辐射同轴地传播并且入射至位于所述介质之后的光学元件的表面区域上,
其中所述光学元件的所述表面区域透射或反射所述第一辐射,提供与所述表面区域接触的清洁气体,和
通过所述第二辐射从所述清洁气体的至少一部分产生反应性介质以用于从所述表面区域移除污染物。
26.根据方面25所述的方法,其中,所述介质是气体介质。
27.根据方面26所述的方法,其中,所述气体介质包括氖气、氦气、氩气、氮气、氧气、氪气和氙气中的一种或更多种。
28.根据方面25所述的方法,其中,所述介质是固体介质。
29.根据方面28所述的方法,其中,所述固体材料包括非线性晶体。
30.根据方面25至29中任一项所述的方法,其中,所述清洁气体包括O2、H2O、NO2、CO2、H2O2和H2中的一种或更多种,并且可选地按照摩尔分数,所述气体介质与所述清洁气体的混合物中的所述清洁气体小于10%、可选地小于5%、可选地小于1%和可选地小于0.1%。
31.根据方面25至30中任一项所述的方法,其中,所述第一辐射具有在红外范围内的第一波长。
32.根据方面25至31中任一项所述的方法,其中,所述第二辐射具有在100nm至260nm范围内的第二波长。
33.根据方面25至32中任一项所述的方法,其中,所述第二辐射具有在0.01W至10W范围内的功率。
34.根据方面25至33中任一项所述的方法,还包括压缩所述第一辐射的脉冲。
35.根据方面25至34中任一项所述的方法,其中,所述污染物是激光引发的污染物,可选地为碳层。
36.根据方面25至35中任一项所述的方法,其中,所述第二辐射是经由三次、四次或五次谐波产生过程而产生的。
37.根据方面25至36中任一项所述的方法,其中,所述组件是多通道单元。
38.根据方面25至37中任一项所述的方法,其中,所述光学元件是反射镜和/或透镜。
39.一种非暂时性计算机程序产品,在所述非暂时性计算机程序产品中包括机器可读指令,所述机器可读指令在由计算机系统执行时被配置成使所述计算机系统至少执行根据方面25至38中任一项所述的方法。
虽然可以在本文中具体地参考在IC制造中光刻设备的使用,但应理解,本文中所描述的光刻设备可以具有其它应用。可能其它应用包括制造集成光学系统、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头,等。
虽然可以在本文中具体地参考在光刻设备的情境下的实施例,但实施例可以用于其它设备中。实施例可以形成掩模检查设备、量测设备或测量或处理诸如晶片(或其它衬底)或掩模(或其它图案形成装置)的对象的任何设备的部分。这些设备通常可以被称为光刻工具。这种光刻工具可以使用真空条件或周围(非真空)条件。
虽然可以在本文中具体地参考在检查或量测设备的情境下的实施例,但实施例可以用于其它设备中。实施例可以形成掩模检查设备、光刻设备或测量或处理诸如晶片(或其它衬底)或掩模(或其它图案形成装置)的对象的任何设备的部分。术语“量测设备”(或“检查设备”)也可以指检查设备或检查系统(或量测设备或量测系统)。例如包括实施例的检查设备可以用于检测衬底的缺陷或衬底上的结构的缺陷。在这种实施例中,衬底上的结构的所关注的特性可能与结构中的缺陷、结构的特定部分的不存在或衬底上的不想要的结构的存在相关。
虽然上文可以具体地参考在光学光刻术的情境下对实施例的使用,但应了解,本发明在情境允许的情况下不限于光学光刻术且可以用于其它应用(例如,压印光刻术)中。
虽然上文所描述的目标或目标结构(更通常地,衬底上的结构)是出于测量的目的而专门设计和形成的量测目标结构,但在其它实施例中,可以对作为在衬底上形成的装置的功能性部分的一个或更多个结构测量所关注的性质。许多器件具有规则的类光栅结构。如本文中所使用的术语结构、目标光栅和目标结构不要求已特定针对正执行的测量来提供结构。另外,量测目标的节距可以接近散射仪的光学系统的分辨率极限或可能更小,但可能比目标部分C中的通过光刻过程制得的典型非目标结构(可选地产品结构)的尺寸大得多。在实践中,可以使目标结构内的重叠光栅的线和/或空间包括在尺寸上类似于非目标结构的较小结构。
虽然上文已描述特定实施例,但将了解,可以以与所描述的方式不同的其它方式来实践本发明。上方描述旨在是说明性的,而不是限制性的。由此,本领域技术人员将明白,可以在不背离下文所阐述的权利要求的范围的情况下对所描述的本发明进行修改。
虽然特别提及“量测设备/工具/系统”或“检查设备/工具/系统”,但这些术语可以指相同或类似类型的工具、设备或系统。例如,包括本发明的实施例的检验或量测设备可以用于确定在衬底上或在晶片上的结构的特性。例如,包括本发明的实施例的检查设备或量测设备可以用于检测在衬底上或在晶片上的衬底的缺陷或结构的缺陷。在这样的实施例中,衬底上的结构的所关注的特性可能关于结构中的缺陷、结构的特定部分的不存在或衬底上或晶片上的不想要的结构的存在。
虽然具体地参考HXR、SXR和EUV电磁辐射,但应了解,本发明在情境允许的情况下可以通过所有电磁辐射来实践,所述电磁辐射包括无线电波、微波、红外、(可见)光、紫外、X射线和γ射线。
虽然上文已描述特定实施例,但应了解,一个实施例中的特征中的一个或更多也可以存在于不同实施例中,并且也可以组合两个或更多个不同实施例中的特征。

Claims (15)

1.一种组件,包括:
空间,所述空间被配置用于放置介质以接收第一辐射,所述第一辐射用于产生第二辐射,使得在操作中所述第二辐射在所述介质之后与所述第一辐射同轴地传播;和
光学元件,所述光学元件位于所述介质之后以用于利用表面区域透射或反射所述第一辐射,
其中,所述组件被配置成使得在操作中清洁气体与所述表面区域接触,并且
其中,反应性介质是通过所述第二辐射从所述清洁气体的至少一部分产生的,以用于从所述表面区域移除污染物。
2.根据权利要求1所述的组件,其中,所述介质是气体介质。
3.根据权利要求2所述的组件,其中,所述气体介质包括氖气、氦气、氩气、氮气、氧气、氪气和氙气中的一种或更多种。
4.根据权利要求1所述的组件,其中,所述介质是固体介质。
5.根据权利要求4所述的组件,其中,所述固体介质包括非线性晶体。
6.根据前述权利要求中的任一项所述的组件,其中,所述清洁气体包括O2、H2O、NO2、CO2、H2O2和H2中的一种或更多种。
7.根据权利要求6所述的组件,其中,按照摩尔分数,所述气体介质与所述清洁气体的混合物中的所述清洁气体小于10%、可选地小于5%、可选地小于1%和可选地小于0.1%。
8.根据前述权利要求中任一项所述的组件,其中,所述第二辐射具有在100nm至260nm范围内的第二波长。
9.根据前述权利要求中任一项所述的组件,其中,所述组件被配置成压缩所述第一辐射的脉冲。
10.根据前述权利要求中任一项所述的组件,其中,所述污染物是激光引发的污染物,可选地为碳层。
11.根据前述权利要求中任一项所述的组件,其中,所述第二辐射是经由三次、四次或五次谐波产生过程而产生的。
12.根据前述权利要求中任一项所述的组件,还包括指型冷冻器。
13.一种辐射源,包括
泵浦辐射源,
根据权利要求1至12中任一项所述的组件,和
高阶谐波产生源,
其中所述组件被放置在所述泵浦辐射源与所述高阶谐波产生源之间以压缩由所述泵浦辐射源产生的所述第一辐射的脉冲。
14.一种方法,包括:
将第一辐射输入至介质中以产生第二辐射,使得所述第二辐射在所述介质之后与所述第一辐射同轴地传播并且入射至位于所述介质之后的光学元件的表面区域上,
其中所述光学元件的所述表面区域透射或反射所述第一辐射,
提供与所述表面区域接触的清洁气体,和
通过所述第二辐射从所述清洁气体的至少一部分产生反应性介质以用于从所述表面区域移除污染物。
15.一种非暂时性计算机程序产品,在所述非暂时性计算机程序产品中包括机器可读指令,所述机器可读指令在由计算机系统执行时被配置成使所述计算机系统至少执行根据权利要求14所述的方法。
CN202280029074.0A 2021-04-26 2022-03-31 清洁方法和相关联照射源量测设备 Pending CN117178228A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP21170472.1 2021-04-26
EP21204494.5A EP4170421A1 (en) 2021-10-25 2021-10-25 A cleaning method and associated illumination source metrology apparatus
EP21204494.5 2021-10-25
PCT/EP2022/058586 WO2022228820A1 (en) 2021-04-26 2022-03-31 A cleaning method and associated illumination source metrology apparatus

Publications (1)

Publication Number Publication Date
CN117178228A true CN117178228A (zh) 2023-12-05

Family

ID=78598752

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280029074.0A Pending CN117178228A (zh) 2021-04-26 2022-03-31 清洁方法和相关联照射源量测设备

Country Status (2)

Country Link
EP (1) EP4170421A1 (zh)
CN (1) CN117178228A (zh)

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998057213A1 (fr) * 1997-06-10 1998-12-17 Nikon Corporation Dispositif optique, son procede de nettoyage, dispositif d'alignement de projection et son procede de fabrication
DE10211611A1 (de) * 2002-03-12 2003-09-25 Zeiss Carl Smt Ag Verfahren und Vorrichtung zur Dekontamination optischer Oberflächen
SG2010050110A (en) 2002-11-12 2014-06-27 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7154582B2 (en) * 2003-02-14 2006-12-26 Canon Kabushiki Kaisha Exposure apparatus and method
US8075732B2 (en) * 2004-11-01 2011-12-13 Cymer, Inc. EUV collector debris management
JP4622720B2 (ja) * 2004-07-21 2011-02-02 日亜化学工業株式会社 窒化物半導体ウエハ又は窒化物半導体素子の製造方法
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JP5008849B2 (ja) * 2005-09-08 2012-08-22 ソニーモバイルディスプレイ株式会社 レーザ加工方法及び透明樹脂層を有する表示装置の製造方法
JP4911494B2 (ja) * 2006-03-18 2012-04-04 国立大学法人大阪大学 波長変換光学素子、波長変換光学素子の製造方法、波長変換装置、紫外線レーザ照射装置およびレーザ加工装置
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
CN102171618B (zh) 2008-10-06 2014-03-19 Asml荷兰有限公司 使用二维目标的光刻聚焦和剂量测量
US20100192973A1 (en) * 2009-01-19 2010-08-05 Yoshifumi Ueno Extreme ultraviolet light source apparatus and cleaning method
CN101515105B (zh) 2009-03-26 2010-07-21 上海交通大学 基于超声波调制的准相位匹配高次谐波装置
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US20120049151A1 (en) * 2010-08-30 2012-03-01 Invenlux Corporation Light-emitting devices with two-dimensional composition-fluctuation active-region and method for fabricating the same
US8633459B2 (en) * 2011-03-02 2014-01-21 Cymer, Llc Systems and methods for optics cleaning in an EUV light source
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9116445B2 (en) * 2012-11-29 2015-08-25 Kla-Tencor Corporation Resonant cavity conditioning for improved nonlinear crystal performance
KR102109059B1 (ko) 2014-11-26 2020-05-12 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
CN107430352B (zh) 2015-03-25 2020-01-21 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
CN107924137B (zh) 2015-06-17 2021-03-05 Asml荷兰有限公司 基于配置方案间的一致性的配置方案选择
WO2017108404A1 (en) 2015-12-23 2017-06-29 Asml Netherlands B.V. Metrology methods, metrology apparatus and device manufacturing method
US11035804B2 (en) 2017-06-28 2021-06-15 Kla Corporation System and method for x-ray imaging and classification of volume defects
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator

Also Published As

Publication number Publication date
EP4170421A1 (en) 2023-04-26

Similar Documents

Publication Publication Date Title
KR20230041761A (ko) 노광된 패턴을 측정하기 위한 계측 방법 및 관련 계측 장치
NL2024462A (en) An illumination source and associated metrology apparatus
US20240004312A1 (en) Metrology apparatus based on high harmonic generation and associated method
US20230100123A1 (en) Metrology measurement method and apparatus
TWI814356B (zh) 光學總成、輻射源、用於光學元件之清潔方法、及相關的非暫時性電腦程式產品
CN117178228A (zh) 清洁方法和相关联照射源量测设备
EP4321933A1 (en) A radiation source
US20220382124A1 (en) An illumination source and associated metrology apparatus
US12031909B2 (en) Metrology method for measuring an exposed pattern and associated metrology apparatus
EP4250010A1 (en) Apparatus and methods for filtering measurement radiation
TWI812269B (zh) 照明源及相關的方法裝置
US20240003809A1 (en) Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
TWI842595B (zh) 照明源及相關的方法裝置
US20220326152A1 (en) An improved high harmonic generation apparatus
EP3839621A1 (en) An illumination source and associated metrology apparatus
EP3962241A1 (en) An illumination source and associated metrology apparatus
WO2023165783A1 (en) Apparatus and methods for filtering measurement radiation
CN116134972A (zh) 照射源和相关联的量测设备
EP3790364A1 (en) An improved high harmonic generation apparatus
CN117501175A (zh) 照射源和相关联的方法设备
CN116670577A (zh) 基于高次谐波产生的量测设备及相关方法
NL2025095A (en) Metrology measurement method and apparatus

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination