CN116738804B - Power module life prediction method based on failure physics - Google Patents

Power module life prediction method based on failure physics Download PDF

Info

Publication number
CN116738804B
CN116738804B CN202311032753.6A CN202311032753A CN116738804B CN 116738804 B CN116738804 B CN 116738804B CN 202311032753 A CN202311032753 A CN 202311032753A CN 116738804 B CN116738804 B CN 116738804B
Authority
CN
China
Prior art keywords
stage
module
chip
energy density
current stage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202311032753.6A
Other languages
Chinese (zh)
Other versions
CN116738804A (en
Inventor
杨鑫
赵诗涵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hunan University
Original Assignee
Hunan University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hunan University filed Critical Hunan University
Priority to CN202311032753.6A priority Critical patent/CN116738804B/en
Publication of CN116738804A publication Critical patent/CN116738804A/en
Application granted granted Critical
Publication of CN116738804B publication Critical patent/CN116738804B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T17/00Three dimensional [3D] modelling, e.g. data description of 3D objects
    • G06T17/20Finite element generation, e.g. wire-frame surface description, tesselation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/02Reliability analysis or reliability optimisation; Failure analysis, e.g. worst case scenario performance, failure mode and effects analysis [FMEA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/04Ageing analysis or optimisation against ageing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/14Force analysis or force optimisation, e.g. static or dynamic forces

Abstract

The invention discloses a power module life prediction method based on failure physics, which comprises the steps of establishing a power module three-dimensional finite element model, carrying out temperature field simulation under the condition of uniformly applying chip power loss to obtain the original temperature distribution of a chip at the current stage, introducing the original temperature distribution into a chip cell-level model established in Matlab, introducing the corrected chip power loss distribution into a chip active area in finite element analysis to carry out thermal coupling simulation to obtain the corrected module temperature distribution at the current stage and the plastic strain energy density distribution of a solder layer, and calculating the module thermal resistance at the current stage and the module life at the current stage by combining the corrected chip power loss; when the current stage is not the first stage, if the ratio of the thermal resistance of the module in the current stage to the thermal resistance of the module in the first stage is larger than a preset threshold value, outputting the service life of the module according to the service life of each stage. The ageing process of the solder layer can be more accurately simulated; the service life prediction precision is higher; has good universality.

Description

Power module life prediction method based on failure physics
Technical Field
The invention belongs to the technical field of power semiconductor devices, and particularly relates to a power module life prediction method based on failure physics.
Background
The power semiconductor module is widely applied to important fields such as renewable energy inverters, motor driving of electric automobiles, locomotive traction and the like. However, reliability problems are increasingly prominent. The chip solder layer is one of the weakest parts in the power module, and the solder layer can be degraded under the action of long-term thermal stress, so that the thermal resistance of the module is increased, and finally the thermal runaway of the module is caused to fail. Therefore, accurate life prediction of the power module solder layer is of great importance for reducing non-downtime maintenance time and reducing maintenance costs of the power conversion system.
The aging of the solder layer in the power module is a result of the multi-factor mutual coupling of uneven temperature distribution on the surface of the chip, initial defects in the solder layer, uneven stress distribution in the solder layer, and the like. Each solder layer has its own independent aging process. However, in the conventional life prediction method, when finite element simulation is performed on a power module, most of the conventional life prediction method uses the whole chip as a uniform constant heat source, the solder layer is set to be an ideal solder layer without initial defects, and the change of power loss distribution caused by the change of chip surface temperature distribution in the aging process of the solder layer is not considered, so that the difference of the aging process of the solder layer cannot be reflected. The power semiconductor chip is a multi-cell structure, and the loss of each cell is different in the running process of the chip. In addition, the solder layer of the power module contains a large number of tiny holes, the holes can also affect the temperature distribution of the chip surface, and the temperature distribution of the chip surface can also change along with the aging of the solder layer, so that the temperature distribution of the actual chip surface is far more complicated than that of the whole chip serving as a uniform constant heat source. The micro-voids inside the solder layer can also cause severe non-uniformity in the thermal stress distribution of the solder layer. Therefore, providing a life prediction method that considers the influence of uneven chip surface temperature distribution and uneven solder layer stress distribution on the aging process of the solder layer and the variation of chip power loss distribution in the aging process of the solder layer becomes a problem to be solved in the prior art.
Disclosure of Invention
Aiming at the technical problems that the prior life prediction method does not consider the influences of uneven chip surface temperature distribution and uneven solder layer stress distribution on the aging process of a solder layer and the power loss distribution change of the chip in the aging process of the solder layer, the invention provides a power module life prediction method based on failure physics.
The technical scheme adopted for solving the technical problems is as follows:
a power module life prediction method based on failure physics includes the following steps:
s100: establishing a power module three-dimensional finite element model with a solder layer containing a distributed initial cavity through CT scanning;
s200: under the condition of uniformly applying the power loss of the chip, carrying out temperature field simulation to obtain the original temperature distribution of the chip at the current stage;
s300: the original temperature distribution of the chip at the current stage is imported into a chip cell-level model established in Matlab to calculate cell power loss at different positions of the chip;
s400: the corrected chip power loss distribution is led into a chip active region in finite element analysis to carry out thermal coupling simulation, so that the module temperature distribution and the solder layer plastic strain energy density distribution corrected at the current stage are obtained;
s500: calculating the module thermal resistance of the current stage and the module service life of the current stage according to the corrected module temperature distribution of the current stage, the corrected chip power loss and the plastic strain energy density distribution of the solder layer;
s600: when the current stage is not the first stage, judging whether the ratio of the module thermal resistance of the current stage to the module thermal resistance of the first stage is larger than a preset threshold, if not, eliminating the grid with the maximum plastic strain energy density of the current stage, entering the next stage, carrying out temperature field simulation to obtain the original temperature distribution of the next stage, returning to S300 until the ratio of the module thermal resistance of the corresponding stage to the module thermal resistance of the first stage is larger than the preset threshold, and outputting the service life of the module according to the service life of each stage.
Preferably, S100 includes:
and obtaining a three-dimensional microstructure of the solder layer of the power module chip through CT scanning, carrying out statistical analysis on initial voids in the solder layer, and establishing a three-dimensional finite element model of the power module containing distributed initial voids in the solder layer, wherein the modeling of the power module chip is divided into a terminal area, a gate area and an active area.
Preferably, S500 includes:
s510: calculating the module thermal resistance of the current stage according to the corrected module temperature distribution of the current stage and the corrected chip power loss, and deriving the plastic strain energy density of each grid of the solder layer of the current stage according to the plastic strain energy density distribution of the solder layer of the current stage;
s520: and sequencing the plastic strain energy density of each grid of the solder layer at the current stage from large to small to obtain the plastic strain energy density of the grid with the maximum plastic strain energy density at the current stage, and calculating the service life at the current stage according to the crack initiation energy of the solder layer material, the plastic strain energy density of the grid with the maximum plastic strain energy density at the current stage, the plastic strain energy density of each previous stage of the grid with the maximum plastic strain energy density at the current stage and the service life of each previous stage.
Preferably, in S510, calculating the thermal resistance of the module at the current stage according to the corrected temperature distribution of the module at the current stage and the corrected power consumption of the chip includes:
acquiring the chip temperature and the shell temperature right below the chip in the current stage according to the corrected module temperature distribution in the current stage;
the thermal resistance of the module at the current stage is obtained by calculation according to the junction temperature of the chip at the current stage, the temperature of the shell right below the chip and the corrected power loss of the chip, and the thermal resistance of the module at the current stage is specifically:
wherein ,is->Stage chip junction temperature, < >>Is->Temperature of shell right below chip stage +.>First->Phase corrected chip power loss, +.>Is->And (5) stage module thermal resistance.
Preferably, when the current stage is the first stage, the plastic strain energy density of the grid with the maximum plastic strain energy density of the current stage in each previous stage and the life of each previous stage are zero, and the current stage life is calculated according to the crack initiation energy of the solder layer material, the plastic strain energy density of the grid with the maximum plastic strain energy density of the current stage in each previous stage and the life of each previous stage in S520, specifically:
wherein ,crack initiation energy for solder layer material, +.>For the first phase life, +.>Is the plastic strain energy density on the grid where the plastic strain energy density is the greatest in the first stage solder layer.
Preferably, when the current stage is not the first stage, the current stage lifetime is calculated in S520 according to the crack initiation energy of the solder layer material, the plastic strain energy density of the grid with the maximum plastic strain energy density of the current stage, the plastic strain energy density of each previous stage of the grid with the maximum plastic strain energy density of the current stage, and the lifetime of each previous stage, specifically:
wherein ,crack initiation energy for solder layer material, +.>Is->Plastic strain energy density on grid with maximum plastic strain energy density in stage solder layer, +.>Is->The grid with the maximum plastic strain energy density in the solder layer in the stage is at the +.>Plastic strain energy density at stage, +.>Is->Stage module lifetime, wherein->
Preferably, in S600, the ratio of the thermal resistance of the corresponding stage module to the thermal resistance of the first stage module is greater than a preset threshold, specifically:
wherein ,is the first stage module thermal resistance.
Preferably, in S600, the module life is output according to each stage life, specifically:
wherein ,for the life of the module->The number of stages experienced at the end of the cycle.
According to the power module service life prediction method based on failure physics, the influences of uneven chip temperature distribution and solder layer stress distribution on the solder layer aging process caused by multi-factor mutual coupling are considered, and the solder layer aging process can be simulated more accurately; the change of the power loss distribution of the chip in the aging process of the solder layer is considered, so that the life prediction precision is higher; the solder material can be applied to modules of different packages of the same solder material, and has good universality.
Drawings
FIG. 1 is a flowchart of a power module life prediction method based on failure physics according to an embodiment of the invention;
FIG. 2 is a three-dimensional scan of a power module chip solder layer obtained by a CT scanner in accordance with an embodiment of the present invention;
FIG. 3 is a three-dimensional finite element model of a power module constructed in an embodiment of the present invention;
FIG. 4 is a diagram of a three-dimensional finite element model of a solder layer in a three-dimensional finite element model of a power module for power creation in accordance with an embodiment of the present invention;
FIG. 5 is a diagram showing a calibration of a chip surface temperature distribution in a finite element according to an embodiment of the present invention;
FIG. 6 is a grid division of a solder layer in a finite element according to an embodiment of the present invention;
FIG. 7 is a graph showing a comparison of a predicted lifetime of a power module and a lifetime obtained by an actual aging test according to an embodiment of the present invention.
Detailed Description
In order to make the technical scheme of the present invention better understood by those skilled in the art, the present invention will be further described in detail with reference to the accompanying drawings.
In one embodiment, as shown in fig. 1, a power module life prediction method based on failure physics, the method includes the following steps:
s100: and establishing a power module three-dimensional finite element model with a solder layer containing a distributed initial cavity through CT scanning.
In one embodiment, S100 comprises:
and obtaining a three-dimensional microstructure of the solder layer of the power module chip through CT scanning, carrying out statistical analysis on initial voids in the solder layer, and establishing a three-dimensional finite element model of the power module containing distributed initial voids in the solder layer, wherein the modeling of the power module chip is divided into a terminal area, a gate area and an active area.
S200: and (3) carrying out temperature field simulation under the condition of uniformly applying the power loss of the chip to obtain the original temperature distribution of the chip at the current stage.
S300: and (3) importing the original temperature distribution of the chip at the current stage into a chip cell-level model established in Matlab to calculate cell power loss at different positions of the chip.
S400: and (3) introducing the corrected chip power loss distribution into a chip active region in finite element analysis to perform thermal coupling simulation, so as to obtain the module temperature distribution corrected at the current stage and the plastic strain energy density distribution of the solder layer.
S500: and calculating the module thermal resistance of the current stage and the module service life of the current stage according to the corrected module temperature distribution of the current stage, the corrected chip power loss and the plastic strain energy density distribution of the solder layer.
In one embodiment, S500 includes:
s510: calculating the module thermal resistance of the current stage according to the corrected module temperature distribution of the current stage and the corrected chip power loss, and deriving the plastic strain energy density of each grid of the solder layer of the current stage according to the plastic strain energy density distribution of the solder layer of the current stage;
s520: and sequencing the plastic strain energy density of each grid of the solder layer at the current stage from large to small to obtain the plastic strain energy density of the grid with the maximum plastic strain energy density at the current stage, and calculating the service life at the current stage according to the crack initiation energy of the solder layer material, the plastic strain energy density of the grid with the maximum plastic strain energy density at the current stage, the plastic strain energy density of each previous stage of the grid with the maximum plastic strain energy density at the current stage and the service life of each previous stage.
In one embodiment, calculating the current stage module thermal resistance according to the current stage corrected module temperature distribution and corrected chip power loss in S510 includes:
acquiring the chip temperature and the shell temperature right below the chip in the current stage according to the corrected module temperature distribution in the current stage;
the thermal resistance of the module at the current stage is obtained by calculation according to the junction temperature of the chip at the current stage, the temperature of the shell right below the chip and the corrected power loss of the chip, and the thermal resistance of the module at the current stage is specifically:
wherein ,is->Stage coreSheet junction temperature, cryptophan officinalis>Is->Temperature of shell right below chip stage +.>First->Phase corrected chip power loss, +.>Is->And (5) stage module thermal resistance.
In one embodiment, when the current stage is the first stage, the plastic strain energy density of the grid with the maximum plastic strain energy density of the current stage at each previous stage and the life of each previous stage are all zero, and the current stage life is calculated in S520 according to the crack initiation energy of the solder layer material, the plastic strain energy density of the grid with the maximum plastic strain energy density of the current stage at each previous stage and the life of each previous stage, specifically:
wherein ,crack initiation energy for solder layer material, +.>For the first phase life, +.>Is the plastic strain energy density in the first stage solder layerPlastic strain energy density on the grid with the greatest degree.
In particular, the method comprises the steps of,is a known value, and can be calculated from the formula (12) described in section 3.1 of the related document Investigation on fatigue mechanism of solder layers in IGBT modules under high temperature gradients.
In one embodiment, when the current stage is not the first stage, the current stage lifetime is calculated in S520 according to the crack initiation energy of the solder layer material, the plastic strain energy density of the grid with the maximum plastic strain energy density of the current stage, the plastic strain energy density of each previous stage of the grid with the maximum plastic strain energy density of the current stage, and the lifetime of each previous stage, specifically:
wherein ,crack initiation energy for solder layer material, +.>Is->Plastic strain energy density on grid with maximum plastic strain energy density in stage solder layer, +.>Is->The grid with the maximum plastic strain energy density in the solder layer in the stage is at the +.>Plastic strain energy density at stage, +.>Is->Stage module lifetime, wherein->
S600: when the current stage is not the first stage, judging whether the ratio of the module thermal resistance of the current stage to the module thermal resistance of the first stage is larger than a preset threshold, if not, eliminating the grid with the maximum plastic strain energy density of the current stage, entering the next stage, carrying out temperature field simulation to obtain the original temperature distribution of the next stage, returning to S300 until the ratio of the module thermal resistance of the corresponding stage to the module thermal resistance of the first stage is larger than the preset threshold, and outputting the service life of the module according to the service life of each stage.
In one embodiment, the ratio of the thermal resistance of the corresponding stage module to the thermal resistance of the first stage module in S600 is greater than a preset threshold, specifically:
wherein ,is the first stage module thermal resistance.
In one embodiment, the module lifetime is output in S600 according to each stage lifetime, specifically:
wherein ,for the life of the module->The number of stages experienced at the end of the cycle.
In a detailed embodiment, the detailed steps are as follows:
step 1, performing three-dimensional CT scanning on a power module solder layer, wherein the scanning result is shown in fig. 2, analyzing and measuring initial cavities in the scanning result, and establishing a power module three-dimensional finite element model of the solder layer containing distributed initial cavities is shown in fig. 3, wherein a chip is divided into a terminal area 1, a gate area 2 and an active area 3. The solder layer three-dimensional finite element model is shown in fig. 4. The bonding wires have little effect on the chip and solder layer temperature, and the bonding wires are ignored when constructing the three-dimensional finite element model of the module.
And 2, in finite element analysis, performing temperature field simulation on the power module under the condition that the power density is uniformly applied to the active region, and obtaining the primary temperature distribution in the first stage.
And 3, establishing a chip cell level model in Matlab, dividing a chip active area into 9 parts, scaling each cell model by using a power semiconductor chip physical model in an equal proportion, introducing the first-stage original temperature distribution obtained in the step 2 into the cell level model, and calculating cell loss at different positions of the first-stage chip.
And 4, importing the obtained distributed cell power loss into finite element analysis, performing temperature field simulation, and enabling the chip surface temperature distribution to be as close as possible to the actually measured chip surface temperature distribution by adjusting boundary conditions. The chip surface temperature distribution after calibration and the actual measured chip surface temperature distribution are shown in fig. 5.
Step 5, performing thermal coupling simulation to obtain the temperature distribution of the first-stage module and the plastic strain energy density distribution of the solder layer, and calculating the thermal resistance of the first-stage moduleAnd (3) carrying out normalization treatment, wherein the thermal resistance of the first stage is 1. As shown in fig. 6, the meshing diagram of this embodiment is 114885 meshes and has a large number of meshes, so in this embodiment, 5000 meshes are eliminated at a time, the plastic strain energy density of the mesh with the plastic strain energy density of 5000 th rank is 0.185, and the crack initiation energy of the solder layer material is 6499.05 according to the method described in the above related literature. Calculating stage one Life +.>
And 6, importing 5000 grid numbers with highest energy into finite element analysis, eliminating the 5000 grids, and entering a second stage. And (3) re-carrying out temperature field simulation under the condition that the power loss distribution is unchanged, introducing the obtained temperature distribution into a chip cell level model established by Matlab, calculating the power loss distribution of the chip at the second stage, introducing the corrected power loss of the chip at the second stage into finite element analysis, and carrying out thermal coupling simulation to obtain the module temperature distribution after the second stage correction and the plastic strain energy density distribution of the solder layer. The plastic strain energy densities of 109885 grids are derived from finite elements, the grids are ordered from large to small, the plastic strain energy density of the 5000 th grid is ranked as 0.179 in the second stage plastic strain energy density, and the second stage module life is calculated:
step 7, calculating the normalized thermal resistance of the second-stage module1.011, less than->The number of stages is increased by one, and the operation of the step 6 is repeated.
Step 8, when iterating to the sixth stage, the thermal resistance thereof1.22, greater than->Iteration stop, calculate module lifetime +.>
The predicted lifetime versus experimental lifetime pair is shown in fig. 7, for example, with an experimental lifetime of 61600, a predicted lifetime of 63540, and a prediction error of 3.1%.
According to the power module service life prediction method based on failure physics, the influences of uneven chip temperature distribution and solder layer stress distribution on the solder layer aging process caused by multi-factor mutual coupling are considered, and the solder layer aging process can be simulated more accurately; the change of the power loss distribution of the chip in the aging process of the solder layer is considered, so that the life prediction precision is higher; the solder material can be applied to modules of different packages of the same solder material, and has good universality.
The power module life prediction method based on failure physics provided by the invention is described in detail above. The principles and embodiments of the present invention have been described herein with reference to specific examples, the description of which is intended only to facilitate an understanding of the core concepts of the invention. It should be noted that it will be apparent to those skilled in the art that various modifications and adaptations of the invention can be made without departing from the principles of the invention and these modifications and adaptations are intended to be within the scope of the invention as defined in the following claims.

Claims (7)

1. A power module life prediction method based on failure physics, the method comprising the steps of:
s100: establishing a power module three-dimensional finite element model with a solder layer containing a distributed initial cavity through CT scanning;
s200: under the condition of uniformly applying the power loss of the chip, carrying out temperature field simulation to obtain the original temperature distribution of the chip at the current stage;
s300: introducing the original temperature distribution of the chip at the current stage into a chip cell-level model established in Matlab to calculate cell power loss at different positions of the chip;
s400: the corrected chip power loss distribution is led into a chip active region in finite element analysis to carry out thermal coupling simulation, so that the module temperature distribution and the solder layer plastic strain energy density distribution corrected at the current stage are obtained;
s500: calculating the module thermal resistance of the current stage and the module service life of the current stage according to the corrected module temperature distribution of the current stage, the corrected chip power loss and the plastic strain energy density distribution of the solder layer;
s500 includes:
s510: calculating the module thermal resistance of the current stage according to the corrected module temperature distribution of the current stage and the corrected chip power loss, and deriving the plastic strain energy density of each grid of the solder layer of the current stage according to the plastic strain energy density distribution of the solder layer of the current stage;
s520: sequencing the plastic strain energy density of each grid of the solder layer at the current stage from large to small to obtain the plastic strain energy density of the grid with the maximum plastic strain energy density at the current stage, and calculating the service life of the current stage according to the crack initiation energy of the solder layer material, the plastic strain energy density of the grid with the maximum plastic strain energy density at the current stage, the plastic strain energy density of each previous stage of the grid with the maximum plastic strain energy density at the current stage and the service life of each previous stage;
s600: when the current stage is not the first stage, judging whether the ratio of the module thermal resistance of the current stage to the module thermal resistance of the first stage is larger than a preset threshold, if not, eliminating the grid with the maximum plastic strain energy density of the current stage, entering the next stage, carrying out temperature field simulation to obtain the original temperature distribution of the next stage, returning to S300 until the ratio of the module thermal resistance of the corresponding stage to the module thermal resistance of the first stage is larger than the preset threshold, and outputting the service life of the module according to the service life of each stage.
2. The method of claim 1, wherein S100 comprises:
and obtaining a three-dimensional microstructure of the solder layer of the power module chip through CT scanning, carrying out statistical analysis on initial voids in the solder layer, and establishing a three-dimensional finite element model of the power module containing distributed initial voids in the solder layer, wherein the modeling of the power module chip is divided into a terminal area, a gate area and an active area.
3. The method of claim 2, wherein calculating a current stage module thermal resistance from the corrected module temperature profile and the corrected chip power loss for the current stage in S510 comprises:
acquiring the chip temperature at the current stage and the shell temperature right below the chip according to the corrected module temperature distribution at the current stage;
calculating to obtain the module thermal resistance of the current stage according to the junction temperature of the chip, the temperature of the shell right below the chip and the corrected power loss of the chip, wherein the module thermal resistance of the current stage is specifically as follows:
wherein ,is->Stage chip junction temperature, < >>Is->Temperature of shell right below chip stage +.>First->Phase corrected chip power loss, +.>Is->And (5) stage module thermal resistance.
4. A method according to claim 3, wherein when the current stage is the first stage, the plastic strain energy density of the grid with the maximum plastic strain energy density of the current stage is zero in each previous stage and the life of each previous stage, and the current stage life is calculated in S520 based on the crack initiation energy of the solder layer material, the plastic strain energy density of the grid with the maximum plastic strain energy density of the current stage in each previous stage and the life of each previous stage, specifically:
wherein ,crack initiation energy for solder layer material, +.>For the first phase life, +.>Is the plastic strain energy density on the grid where the plastic strain energy density is the greatest in the first stage solder layer.
5. A method according to claim 3, wherein when the current stage is not the first stage, the current stage lifetime is calculated in S520 from the crack initiation energy of the solder layer material, the plastic strain energy density of the grid having the maximum plastic strain energy density of the current stage, the plastic strain energy density of each previous stage of the grid having the maximum plastic strain energy density of the current stage, and the lifetime of each previous stage, in particular:
wherein ,crack initiation energy for solder layer material, +.>Is->Plastic strain energy density on grid with maximum plastic strain energy density in stage solder layer, +.>Is->The grid with the maximum plastic strain energy density in the solder layer in the stage is at the +.>Plastic strain energy density at stage, +.>Is->Stage module lifetime, wherein->
6. The method according to any one of claims 4 or 5, wherein the ratio of the thermal resistance of the corresponding stage module to the thermal resistance of the first stage module in S600 is greater than a predetermined threshold, specifically:
wherein ,is the first stage module thermal resistance.
7. The method of claim 6, wherein the outputting module life according to each stage life in S600 is specifically:
wherein ,for the life of the module->The number of stages experienced at the end of the cycle.
CN202311032753.6A 2023-08-16 2023-08-16 Power module life prediction method based on failure physics Active CN116738804B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311032753.6A CN116738804B (en) 2023-08-16 2023-08-16 Power module life prediction method based on failure physics

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202311032753.6A CN116738804B (en) 2023-08-16 2023-08-16 Power module life prediction method based on failure physics

Publications (2)

Publication Number Publication Date
CN116738804A CN116738804A (en) 2023-09-12
CN116738804B true CN116738804B (en) 2023-11-03

Family

ID=87903040

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202311032753.6A Active CN116738804B (en) 2023-08-16 2023-08-16 Power module life prediction method based on failure physics

Country Status (1)

Country Link
CN (1) CN116738804B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116933608B (en) * 2023-09-15 2023-12-22 深圳市正和兴电子有限公司 Storage chip management method, system and storage medium

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110147578A (en) * 2019-04-19 2019-08-20 西安中车永电电气有限公司 The life-span prediction method of IGBT device based on semi-physical emulation platform
KR20200079765A (en) * 2018-12-26 2020-07-06 한국기술교육대학교 산학협력단 A Method for estimation of remaining useful life of IGBT based on Auxiliary Particle Filtering
CN112380768A (en) * 2020-11-11 2021-02-19 长沙理工大学 BP neural network-based LED chip life prediction method
CN113987783A (en) * 2021-10-26 2022-01-28 中国人民解放军海军工程大学 Power semiconductor device service life prediction method based on multi-fatigue mode coupling
CN115081268A (en) * 2022-05-22 2022-09-20 北京化工大学 IGBT module service life prediction method based on power loss mathematical model and finite element method
CN115186592A (en) * 2022-07-19 2022-10-14 湖南大学 Method for predicting life of power semiconductor module, terminal device, and storage medium
CN115841857A (en) * 2022-12-06 2023-03-24 西北有色金属研究院 Method for predicting service life of internal fatigue failure of metal material
CN115994464A (en) * 2022-12-09 2023-04-21 湖南大学 Method and system for predicting residual life of power device based on crack length expansion
CN116432543A (en) * 2023-06-13 2023-07-14 湖南大学 Method for predicting remaining life of power semiconductor module, terminal device and storage medium
CN116579189A (en) * 2023-07-13 2023-08-11 湖南大学 IGBT power module service life prediction method and device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8209133B2 (en) * 2007-08-16 2012-06-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Rapid determination of fatigue failure based on temperature evolution
US7826985B2 (en) * 2008-05-02 2010-11-02 Rockwell Automation Technologies, Inc. Power module life estimation fatigue function

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200079765A (en) * 2018-12-26 2020-07-06 한국기술교육대학교 산학협력단 A Method for estimation of remaining useful life of IGBT based on Auxiliary Particle Filtering
CN110147578A (en) * 2019-04-19 2019-08-20 西安中车永电电气有限公司 The life-span prediction method of IGBT device based on semi-physical emulation platform
CN112380768A (en) * 2020-11-11 2021-02-19 长沙理工大学 BP neural network-based LED chip life prediction method
CN113987783A (en) * 2021-10-26 2022-01-28 中国人民解放军海军工程大学 Power semiconductor device service life prediction method based on multi-fatigue mode coupling
CN115081268A (en) * 2022-05-22 2022-09-20 北京化工大学 IGBT module service life prediction method based on power loss mathematical model and finite element method
CN115186592A (en) * 2022-07-19 2022-10-14 湖南大学 Method for predicting life of power semiconductor module, terminal device, and storage medium
CN115841857A (en) * 2022-12-06 2023-03-24 西北有色金属研究院 Method for predicting service life of internal fatigue failure of metal material
CN115994464A (en) * 2022-12-09 2023-04-21 湖南大学 Method and system for predicting residual life of power device based on crack length expansion
CN116432543A (en) * 2023-06-13 2023-07-14 湖南大学 Method for predicting remaining life of power semiconductor module, terminal device and storage medium
CN116579189A (en) * 2023-07-13 2023-08-11 湖南大学 IGBT power module service life prediction method and device

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
IGBT模块功率循环疲劳寿命预测;姚二现;庄伟东;常海萍;;电子产品可靠性与环境试验(第02期);全文 *
Investigation on fatigue mechanism of solder layers in IGBT modules under high temperature gradients;Shihan Zhao;《Microelectronics Reliability》;第141卷;全文 *
功率变流器的可靠性研究现状及展望;周雒维;吴军科;杜雄;杨珍贵;毛娅婕;;电源学报(第01期);全文 *
高压大功率IGBT器件高温阻断测试结温检测方法;张雷;;半导体技术(第02期);全文 *

Also Published As

Publication number Publication date
CN116738804A (en) 2023-09-12

Similar Documents

Publication Publication Date Title
CN116738804B (en) Power module life prediction method based on failure physics
CN111612142B (en) BP neural network-based high-power LED life prediction method
CN112214951A (en) Sectional type LSTM microgrid inverter IGBT reliability evaluation method and system
CN115994464A (en) Method and system for predicting residual life of power device based on crack length expansion
CN106549396A (en) A kind of power distribution network multiple target probability idle work optimization method
CN113794254B (en) Thermal management strategy configuration method and device, computer equipment and storage medium
CN111259583A (en) IGBT module solder layer fatigue aging failure simulation method based on voidage
CN116579189B (en) IGBT power module service life prediction method and device
CN110795894A (en) Method for calculating temperature of IGBT module based on BP neural network
CN111709162A (en) Method and device for calculating thermal resistance distribution in power semiconductor module and storage medium
US11953538B2 (en) Method and system for predicting insulated gate bipolar transistor lifetime based on compound failure mode coupling
CN115015723A (en) State monitoring method and device of GaN power device, computer equipment and medium
CN116776525B (en) Driving optimization method of data driving type IGBT device
US20230359792A1 (en) Method and system for high-speed transient thermal simulation of electronic device
Hu et al. An adaptive electrothermal model for estimating the junction temperature of power device
CN112685958A (en) SiC MOSFET blocking voltage determination method based on neural network
CN113033136B (en) Simplified photovoltaic cell physical parameter extraction optimization method and system
CN115081268A (en) IGBT module service life prediction method based on power loss mathematical model and finite element method
CN117195665B (en) Method for constructing life prediction model of bonding wire of power semiconductor device
KR101386414B1 (en) Method for predicting maximum tolerance current using load current and the temperature of power facility
Kajari-Schröder et al. Modelling the curing dynamics of ethylene-vinyl acetate
CN113221343A (en) Variance-based global sensitivity analysis method for parameters of hybrid multistage thermoelectric generator
US20150066449A1 (en) Solar farm and method for forecasting solar farm performance
CN115061519B (en) Multi-thermal-field simulation high-precision control system and method for testing strength of aerospace plane
CN113987998B (en) Full-control power semiconductor module power circulation method based on electric power working condition

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant