CN116635977A - 拉伸的氮化物沉积系统和方法 - Google Patents

拉伸的氮化物沉积系统和方法 Download PDF

Info

Publication number
CN116635977A
CN116635977A CN202180086356.XA CN202180086356A CN116635977A CN 116635977 A CN116635977 A CN 116635977A CN 202180086356 A CN202180086356 A CN 202180086356A CN 116635977 A CN116635977 A CN 116635977A
Authority
CN
China
Prior art keywords
silicon
nitrogen
deposition
plasma
less
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180086356.XA
Other languages
English (en)
Inventor
M·W·蒋
Y·林
K·萧
H·俞
D·帕德希
Y·刘
夏立群
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116635977A publication Critical patent/CN116635977A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

示例性半导体处理方法可包括使可包括含氮前驱物、含硅前驱物和载气的沉积气体流至基板处理腔室的基板处理区域中。含氮前驱物与含硅前驱物的流率比可大于或约为1:1。方法可进一步包括由沉积气体产生沉积等离子体,以在基板处理腔室中的基板上形成含硅和氮的层。可用处理等离子体处理含硅和氮的层,在无含硅前驱物的情况下由载气形成所述处理等离子体。处理等离子体中载气的流率可大于沉积等离子体中载气的流率。

Description

拉伸的氮化物沉积系统和方法
相关申请的交叉引用
本申请主张2020年10月23日提交的题为“TENSILE NITRIDE DEPOSITION SYSTEMSAND METHODS(拉伸的氮化物沉积系统和方法)”的美国非临时申请第17/078,793号的权益和优先权,该申请以全文引用的方式并入本文中。
技术领域
本技术涉及半导体系统和工艺。更具体地,本技术涉及在其中可形成拉伸的氮化硅层的沉积系统和方法。
背景技术
集成电路是通过在基板表面上产生复杂图案化材料层的工艺实现的。在基板上产生图案化材料需要可控的用于形成和移除被暴露材料的方法。材料特性可影响器件操作的方式,并且还可影响膜相对于彼此被移除的方式。等离子体增强沉积可产生具有某些特性的膜。形成的许多膜需要进行额外的处理,以调整或增强膜的材料特性,以便提供合适的性质。
由此,需要能用于产生高质量器件和结构的改进的系统和方法。本技术解决这些和其他需要。
发明内容
本技术的实施例包括在基板上形成拉伸的氮化硅层的系统和方法。在一些实施例中,拉伸的氮化物层在前道工艺或中道工艺的半导体制造工艺中可充当蚀刻终止层、CMP终止层或硬掩模层。氮化物层的高拉伸应力可减小在拉伸的氮化物层上方或下方沉积的一个或多个图案化层中形成的孔、通道、沟槽和其他类型的开口的线边缘粗糙度(LER)和线宽度粗糙度(LWR)。随着半导体器件结构(诸如nMOS晶体管)的关键尺寸持续减小,对于这些精确图案化的开口的需要增加。
本技术的实施例包括半导体处理方法,所述处理方法可包括使包括含氮前驱物、含硅前驱物和载气的沉积气体流至基板处理腔室的基板处理区域中。含氮前驱物与含硅前驱物的流率比可大于或约为1:1。方法可进一步包括由沉积气体产生沉积等离子体,以在半导体处理腔室中的基板上形成含硅和氮的层。可用处理等离子体处理含硅和氮的层,其中在无含硅前驱物的情况下由载气形成处理等离子体。处理等离子体中载气的流率可大于沉积等离子体中载气的流率。
在示例性实施例中,含氮前驱物可包括氨,且含硅前驱物可包括硅烷。含氮前驱物可由大于或约为100sccm的流率表征,且含硅前驱物可由大于或约为50sccm的流率表征。在其他实施例中,载气可包括分子氮(N2)和氩气中之至少一者。载气中的分子氮可由大于或约为5000sccm的流率表征,且载气中的氩气可由大于或约为2000sccm的流率表征。在又其他实施例中,处理腔室可由在沉积含硅和氮的层期间的沉积腔室压力表征,在沉积含硅和氮的层期间的沉积腔室压力小于处理含硅和氮的层期间的处理腔室压力。在进一步实施例中,可通过向沉积气体输送小于或约为60瓦特的等离子体功率来产生沉积等离子体。在又进一步实施例中,可通过在无含硅前驱物的情况下向载气输送大于或约为100瓦特的等离子体功率来产生处理等离子体。
在进一步示例性实施例中,可以小于或约为的沉积速率形成含硅和氮的层。在又进一步实施例中,处理方法可产生处理后含硅和氮的层,其为由大于或约为1GPa的拉伸应力和小于或约为/>的湿蚀刻速率表征的氮化硅层。
本技术的实施例也可包括半导体处理方法,其包括在基板处理腔室的基板处理区域中的基板上沉积含硅和氮的层。可用以第一等离子体功率从沉积气体产生的沉积等离子体沉积含硅和氮的层,该沉积气体包括含氮前驱物和含硅前驱物。在一些实施例中,含氮前驱物与含硅前驱物的流率比大于或约为1:1。在附加实施例中,可以小于或约为的沉积速率形成含硅和氮的层。方法可进一步包括用处理等离子体处理含硅和氮的层。可用大于第一等离子体功率的第二等离子体功率形成处理等离子体。处理方法可产生由大于或约为1GPa的拉伸应力和小于或约为/>的湿蚀刻速率表征的含硅和氮的层。
在示例性实施例中,可从无含硅前驱物或含氮前驱物的处理气体形成处理等离子体。在进一步实施例中,可从包括分子氮(N2)的处理气体形成处理等离子体。在又进一步实施例中,可以大于或约为10000sccm的氮气流率将分子氮输送至半导体处理腔室。处理方法可产生含硅和氮层,其为由小于或约为3at.%的氢表征的氮化硅层。
本技术的实施例包括半导体处理方法,该方法可包括在两个或更多个循环中形成氮化硅层,该循环可包括在基板处理腔室的基板处理区域中的基板上沉积氮化硅层的一部分,以及用处理等离子体处理氮化硅层的一部分。可将氮化硅层的一部分沉积至小于或约为的厚度。在一些实施例中,可以小于或约为/>的沉积速率形成氮化硅层的每个部分。在附加实施例中,对于刚沉积的氮化硅层的每个部分的处理操作可发生达小于或约15秒。相比于刚沉积的部分,处理等离子体可提高氮化硅层的经处理部分的拉伸应力和湿蚀刻速率。氮化硅层的经处理部分也可由小于或约为3at.%的氢水平表征。
在示例性实施例中,可从沉积等离子体沉积氮化硅层的部分,该沉积等离子体从输送至基板处理腔室的沉积气体产生。沉积气体可包括含氮前驱物和含硅前驱物。在一些实施例中,含氮前驱物可由小于或约为200sccm的流率表征,且含硅前驱物可由小于100sccm的流率表征。处理方法可产生由大于或约为1GPa的拉伸应力和小于或约为的湿蚀刻速率表征的氮化硅层。
相比于常规的系统和形成氮化硅层的方法,此类技术可提供许多益处。例如,在当从沉积过渡至处理操作时提高载气的流率的实施例中,相比于常规的沉积-处理方法中观察到的,基板上沉积的经处理的含硅和氮材料中的拉伸应力可在较短的时间段内增加较大量。附加地,在产生处理等离子体的处理等离子体功率的量大于产生沉积等离子体的沉积等离子体功率的量的实施例中,含硅和氮材料中的最终拉伸应力水平也可以提高。在进一步实施例中,相比于常规的沉积-处理方法中观察到的,本技术可产生具有较小原子百分比氢的含硅和氮层。较低原子百分比的氢可进一步提高拉伸应力水平,并减小含硅和氮层的湿蚀刻速率。结合以下描述和附图更详细地描述本技术的实施例连同其许多优点和特征。
附图说明
可参考说明书和附图的剩余部分进一步理解所公开技术的性质和优点。
图1A示出了根据本技术的一些实施例的示例性处理系统的俯视平面图。
图1B示出了根据本技术的一些实施例的示例性处理系统的示意性横截面图。
图2示出了根据本技术的一些实施例的示例性半导体处理方法的操作。
图3A-图3B示出了根据本技术的一些实施例的示例性半导体结构的横截面图。
包括附图中的若干附图作为示意图。应理解,附图用于说明性目的,且除非明确指出为按比例的,否则不应认为其为按比例的。另外,提供作为示意图的附图以帮助理解,且附图可能不包括与实际表现相比的所有方面或信息,并且可以出于说明性目的而包括夸大的材料。
在附图中,相似的部件和/或特征可具有相同的附图标记。另外,可通过在附图标记后添加区分相似部件的字母来区分同一类型的各个部件。若说明书中仅使用第一附图标记,则不管字母为何,描述适用于具有相同第一附图标记的相似部件中的任一者。
具体实施方式
本技术包括在半导体基板上形成拉伸的氮化硅层的系统和处理方法的实施例。除其他功能以外,这些拉伸的氮化物层解决了在基板上的图案化材料中形成越来越精确的通道、触点、通孔、沟槽和其他类型的开口的困难。定位在基板的图案化材料与半导体材料之间的常规的低应力氮化物层缺少将图案化开口的侧壁保持为高度对准且光滑的力。因此,使用这些低应力氮化物层的图案化操作产生粗糙的开口,这些开口由沿着图案化光阻层与氮化物蚀刻终止层之间的图案化材料中形成的侧壁的大的线边缘粗糙度(LER)和线宽度粗糙度(LWR)表征,或是由于硬掩模尺寸的畸变。随着半导体器件(诸如nMOS晶体管)的关键尺寸持续减小,这些开口中的粗糙度的量变为了更大的问题。这些常规形成的开口中的粗糙度程度越来越超过稳定、正常运行的集成电路所需的基板特征的关键尺寸的公差。
降低这些开口中粗糙度的一种方法是在具有增加的拉伸应力的氮化硅层上形成这些开口。增加的拉伸应力增强相邻图案化材料使材料在侧向方向上以不均匀速率蚀刻的趋势减小的特性。图案化材料中形成的开口由沿着其侧壁的减小的线边缘粗糙度(LER)和线宽度粗糙度(LWR)表征。可惜,形成具有增加的拉伸应力的氮化硅层比形成常规的低应力氮化物层大体而言更难。通过减少刚沉积的氮化物层中Si-H和N-H键的数量且增加Si-N键的数量,可增大利用含硅氮和氢前驱物的等离子体增强化学气相沉积所沉积的氮化物层中的拉伸应力。Si-H和N-H键的数量减小可以是由于从刚沉积的层移除氢。随着Si和N原子进入被移除的氢原子留下的空间并且形成更多Si-N键,氮化物层中的总拉伸应力增大。形成高拉伸应力氮化物层的更多困难在于从刚沉积的层移除氢原子的额外操作。
处理刚沉积的氮化硅层以增大其拉伸应力的一种方法是将刚沉积的层暴露于紫外光。可惜,UV处理可具有若干缺点,包括UV光通过刚沉积的层的低穿透,以及产生光且将光聚焦于基板上所需要的额外设备。在许多情况下,在氮化物沉积腔室中并入UV处理装备不切实际,且必须将具有刚沉积的氮化物材料的基板转移至独立的腔室以用于UV处理。由于UV处理装备通常被设计为穿透刚沉积的氮化物的小于或约为可在氮化物沉积和UV处理腔室之间来回多次穿梭运送基板,以制造整个层。这可令使用UV处理操作在基板上形成拉伸的氮化硅层的时间和复杂度显著增加。
本技术的实施例通过在单一处理腔室中的基板上沉积和处理含硅和氮层来解决形成拉伸的含硅和氮层的常规系统和方法的这些和其他问题。处理方法的实施例可包括提高在基板上沉积较小应力的含硅和氮层与用处理等离子体处理刚沉积的氮化物之间的载气的流率,以形成拉伸应力大于或约为1GPa的拉伸应力的含硅和氮层。在这些实施例中,可减小或终止一个或多个沉积前驱物的流率,同时增加沉积前驱物所包括的载气的流率。在一些实施例中,在沉积和处理操作期间载气可连续流至处理腔室中,且在沉积操作期间载气流率不可下降至初始流率以下,直至处理操作完成为止。在沉积与处理操作之间的载气流率增大的实施例中,相比于常规的沉积-处理方法中观察到的,经处理的含硅和氮层中的拉伸应力可在较短的时间段内增加较大量。
在处理方法的附加实施例中,可在从沉积含硅和氮层的沉积等离子体到增加层中拉伸应力的处理等离子体的过渡中提高等离子体功率。在一些实施例中,在从沉积到处理等离子体的过渡期间使等离子体功率不中断。这可减少形成拉伸应力的含硅和氮层的每个沉积-处理循环的时间。在执行若干沉积-处理循环以完成层的形成的实施例中,处理时间的累积减少可以是实质性的。在进一步实施例中,等离子体功率的增大也可以提高完全形成的含硅和氮层中的拉伸应力水平。
在本技术的又附加实施例中,相比于常规方法产生的拉伸层,由系统和方法的实施例产生的拉伸的含硅和氮层可具有减少的氢含量。在实施例中,拉伸的含硅和氮层可具有小于或约为3at.%的原子氢。含硅和氮层中低水平的氢可减少层中的Si-H和N-H键的数量,同时增加Si-N键的数量。在实施例中,Si-N键与Si-H和N-H键的增大的摩尔比可提高层中的拉伸应力的量。在进一步实施例中,增大的摩尔比也可以降低含硅和氮层的湿蚀刻速率,在一些实施例中,这使得含硅和氮层在半导体制造工艺中作为蚀刻终止层或硬掩模更有效。
在描述腔室(其被配置为根据其中可执行等离子体处理的本技术的实施例来执行操作)的总体方面之后,可论述具体的方法论和部件配置。应理解,本技术不旨在限于所讨论的具体的膜和处理,因为所描述的技术可用于改良多个膜形成工艺,并且可以适用于各种处理腔室和操作。
图1A示出了根据实施例的沉积、处理、蚀刻、烘烤和固化腔室的处理系统10的一个实施例的俯视平面图。在图中,一对前开式标准舱12供应各种尺寸的基板,这些基板被机械臂14所接收,并且放置于低压保持区域16中,然后放置于基板处理腔室18a-18f中的一者中,这些基板处理腔室被定位在串接部分19a-19c中。第二机械臂11可用于将基板晶片从保持区域16传输至基板处理腔室18a-18f并返回。每个基板处理腔室18a-18f可被配备为执行多个基板处理操作,这些基板处理操作包括形成本文描述的半导体材料堆栈,以及等离子体增强化学气相沉积、原子层沉积、物理气相沉积、蚀刻、预清洁、除气、定向和包括等离子体处理、退火、灰化等的其他基板工艺。
基板处理腔室18a-18f可包括用于沉积、等离子体处理、固化和/或蚀刻基板上的介电质或其他膜的一个或多个系统部件。在一个配置中,可使用两对处理腔室(例如,18c-18d和18e-18f)在基板上沉积介电材料,并且可使用第三对处理腔室(例如,18a-18b)处理所沉积的介电质。在另一配置中,所有三对腔室(例如,18a-18f)可被配置为在基板上沉积并且处理交替介电膜的堆栈。所描述的工艺中的任何一者或多者可在与不同实施例中示出的制造系统分离的腔室中进行。将了解,系统10构想了用于介电膜的沉积、处理、蚀刻、退火和固化腔室的附加配置。
图1B示出了根据本技术的一些实施例的示例性处理腔室100的横截面图。图式可说明系统的概况,该系统并入了本技术的一个或多个方面,和/或可被具体地配置为执行根据本技术的实施例的一个或多个操作。下文可进一步描述腔室100的附加细节或所执行的方法。根据本技术的一些实施例,腔室100可用于形成拉伸的氮化物膜,但应理解,可在发生膜形成的任何腔室中类似地执行该方法。处理腔室100可包括:腔室主体102;基板支撑件104,其设置在腔室主体102内;盖组件106,其与腔室主体102耦合,并且将基板支撑件104包围在处理容积120中。可通过开口126将基板103提供至处理容积120,可使用狭缝阀或门常规地密封开口126以供处理。在处理期间,基板103可被安置在基板支撑件的表面105上。基板支撑件104可如箭头145所指示地沿着轴线147旋转,其中基板支撑件104的轴144可位于轴线147上。替代地,在沉积工艺期间,可抬升基板支撑件104以按需要旋转。
等离子体分布调制器111可被设置在处理腔室100中,以控制设置在基板支撑件104上的基板103上的等离子体分配。等离子体分布调制器111可包括第一电极108,其被设置为与腔室主体102相邻,并且可将腔室主体102与盖组件106的其他部件分离。第一电极108可以是盖组件106的一部分,或者可以是单独的侧壁电极。第一电极108可以是环形或环状元件,并且可以是环形电极。第一电极108可以是沿包围处理容积120的处理腔室100的圆周的连续环,或者按照期望在选定的位置可以不连续。第一电极108也可以是穿孔电极(诸如穿孔环或网状电极),或者可以是板状电极(诸如二次气体分配器)。
一个或多个隔离器110a、110b(可以是诸如陶瓷或金属氧化物之类的介电材料,例如氧化铝和/或氮化铝)可以接触第一电极108并将第一电极108与气体分配器112和腔室主体102电分离和热分离。气体分配器112可界定用于将工艺前驱物分配至处理容积120中的孔118。气体分配器112可与第一电力源142耦合,第一电力源142诸如RF产生器、RF电源、DC电源、脉冲DC电源、脉冲RF电源或可与处理腔室耦合的任何其他电源。在一些实施例中,第一电力源142可以是RF电源。
气体分配器112可以是导电的气体分配器或不导电的气体分配器。气体分配器112也可以由导电和不导电的部件形成。例如,气体分配器112的主体可以是导电的,而气体分配器112的面板可以是不导电的。可(诸如通过图1中所示的第一电力源142)使气体分配器112通电,或者在一些实施例中气体分配器112可耦合接地。
第一电极108可与第一调谐电路128耦合,该第一调谐电路128可控制处理腔室100的接地路径。第一调谐电路128可包括第一电子传感器130和第一电子控制器134。第一电子控制器134可以是或者可包括可变电容器或其他电路元件。第一调谐电路128可以是或可包括一个或多个电感器132。第一调谐电路128可以是在处理期间在处理容积120中存在的等离子体条件下实现可变或可控阻抗的任何电路。在所说明的一些实施例中,第一调谐电路128可包括在地面与第一电子传感器130之间并联耦合的第一电路支路和第二电路支路。第一电路支路可包括第一电感器132A。第二电路支路可包括与第一电子控制器134串联耦合的第二电感器132B。第二电感器132B可被设置在第一电子控制器134与将第一电路支路和第二电路支路连接至第一电子传感器130的节点之间。第一电子传感器130可以是电压或电流传感器,并且可以与第一电子控制器134耦合,该第一电子控制器134可对处理容积120内的等离子体条件进行一定程度的闭环控制。
第二电极122可以与基板支撑件104耦合。第二电极122可嵌入于基板支撑件104内,或与基板支撑件104的表面耦合。第二电极122可以是板、穿孔板、网格、线滤网或导电元件的任何其他分布式布置。第二电极122可以是调谐电极,并且可以通过导管146与第二调谐电路136耦合,该导管146例如设置在基板支撑件104的轴144中的例如具有选定电阻(诸如50欧姆)的线缆。第二调谐电路136可具有第二电子传感器138和第二电子控制器140,第二电子控制器140可以是第二可变电容器。第二电子传感器138可以是电压或电流传感器,并且可以与第二电子控制器140耦合以提供对处理容积120中的等离子体条件的进一步控制。
第三电极124可以是偏压电极和/或静电夹持电极,可与基板支撑件104耦合。第三电极可通过滤波器148与第二电力源150耦合,滤波器148可以是阻抗匹配电路。第二电力源150可以是DC电源、脉冲DC电源、RF偏压电源、脉冲RF源或偏压电源,或这些或其他电源的组合。在一些实施例中,第二电力源150可以是RF偏压电源。
图1B的盖组件106和基板支撑件104可与用于等离子体或热处理的任何处理腔室一起使用。在操作中,处理腔室100可进行对处理容积120中的等离子体条件的实时控制。基板103可以被设置在基板支撑件104上,并且可以根据任何期望的流程图使用入口114使工艺气体流过盖组件106。气体可通过出口152离开处理腔室100。电源可以与气体分配器112耦合,以在处理容积120中产生等离子体。在一些实施例中,可使用第三电极124对基板进行电偏压。
一旦在处理容积120中激励等离子体,就可以在等离子体与第一电极108之间建立电位差。也可以在等离子体与第二电极122之间建立电位差。随后可使用电子控制器134、140以调整由两个调谐电路128和136表示的接地路径的流动性质。可将设定点输送至第一调谐电路128和第二调谐电路136,以提供对沉积速率和中心至边缘的等离子体密度均匀性的独立控制。在电子控制器可均为可变电容器的实施例中,电子传感器可调整可变电容器,以独立地最大化沉积速率并最小化厚度不均匀性。
调谐电路128、136中的每一者可具有可变阻抗,可使用分别的电子控制器134、140调整该可变阻抗。当电子控制器134、140是可变电容器时,可选择可变电容器中的每一者的电容范围以及第一电感器132A和第二电感器132B的电感以提供阻抗范围。该范围可取决于等离子体的频率和电压特性,其可在每一可变电容器的电容范围中具有最小值。因此,当第一电子控制器134的电容为最小值或最大值时,第一调谐电路128的阻抗可以高,导致在基板支撑件上具有最小的空中或横向覆盖范围的等离子体形状。当第一电子控制器134的电容接近最小化第一调谐电路128阻抗的值时,等离子体的空中覆盖范围可增加至最大值,从而有效地覆盖基板支撑件104的整个工作区域。由于第一电子控制器134的电容偏离最小阻抗设定,等离子体形状可从腔室壁收缩,并且基板支撑件的空中覆盖范围可下降。第二电子控制器140可具有类似的效应,随着第二电子控制器140的电容可改变,等离子体在基板支撑件上方的空中覆盖范围增加或减少。
可使用电子传感器130、138在闭环中调谐分别的电路128、136。取决于所使用传感器的类型,可在每个传感器中安装电流或电压的设定点,并且传感器可以提供有控制软件,其确定对每个分别的电子控制器134、140的调整以最小化与设定点的偏离。因此,在处理期间可选定且动态地控制等离子体形状。应理解,虽然前文的论述基于可以是可变电容器的电子控制器134、140,但可使用具有可调整特性的任何电子部件来为调谐电路128和136提供可调整阻抗。
图2示出了根据本技术的一些实施例的沉积方法200的示例性操作。可在各种处理腔室(包括上文描述的处理腔室100)中执行方法。方法可包括在沉积之后执行净化操作,其可限制基板上的颗粒沉积。方法200可包括多个可选的操作,这些操作可与根据本技术的方法的一些实施例具体地相关联或不相关。例如,描述操作中的许多操作以便提供结构形成的更广泛范围,但这并非技术的关键,或可通过将容易地理解的替代方法来执行操作。
方法200可包括在启动所列出的操作之前进行额外的操作。例如,额外的处理操作可包括在半导体基板上形成结构,其可包括形成和移除材料。可在执行方法200的腔室中执行先前的处理操作,或可在将基板输送至可执行方法200的半导体处理腔室中之前在一个或多个其他处理腔室中执行处理。无论如何,方法200可以可选地包括将半导体基板输送至半导体处理腔室的处理区域,诸如上文描述的处理腔室100或可包括上文描述的部件的其他腔室。基板可沉积在基板支撑件上,该基板支撑件可以是诸如基板支撑件104的底座,并且可驻留在腔室的处理区域中,诸如上文描述的处理容积120。
形成拉伸的含硅和氮层的处理方法200的实施例可包括使沉积前驱物流入基板处理腔室的基板处理区域中205。沉积前驱物的实施例可包括至少一种含硅前驱物和至少一种含氮前驱物。含硅前驱物的实施例可包括硅烷、二硅烷和其他含硅前驱物。含氮前驱物的实施例可包括氨(NH3)和分子氮与氢的混合物(N2+H2)以及其他含氮前驱物。在进一步实施例中,沉积前驱物也可包括至少一种载气。载气的实施例可包括分子氮(N2)和氩气以及其他载气。
在一些实施例中,可按大于或约为含硅沉积前驱物的流率的流率将含氮沉积前驱物引入至处理腔室的基板处理区域。例如,含氮前驱物与含硅前驱物的流率比可大于或约为1:1、大于或约为2:1、大于或约为3:1、大于或约为4:1、大于或约为5:1或更大。在附加示例中,含氮前驱物与含硅前驱物的流率比可为富硅的。在实施例中,含氮前驱物与含硅前驱物的流率比可小于或约为1:5、小于或约为1:10或更小。在进一步实施例中,可按大于含氮和含硅沉积前驱物的组合流率的流率将沉积载气引入至处理腔室的基板处理区域。例如,载气与含氮和含硅前驱物的流率比可大于或约为30:1、大于或约为40:1、大于或约为50:1、大于或约为60:1、大于或约为70:1或更大。
在实施例中,一种或多种含氮沉积前驱物的流率可大于或约为100sccm、大于或约为125sccm、大于或约为150sccm、大于或约为175sccm、大于或约为200sccm、大于或约为225sccm、大于或约为250sccm或更大。在进一步实施例中,一种或多种含硅沉积前驱物的流率可小于或约为100sccm、小于或约为90sccm、小于或约为80sccm、小于或约为70sccm、小于或约为60sccm、小于或约为50sccm、小于或约为40sccm或更小。在又进一步实施例中,载气的流率可大于或约为8000sccm、大于或约为9000sccm、大于或约为10000sccm、大于或约为11000sccm、大于或约为12000sccm或更大。在附加实施例中,沉积前驱物向基板处理腔室中的流动可提高腔室中的压力。在实施例中,沉积前驱物流动期间基板腔室中的压力可以被表征为大于或约为1Torr、大于或约为2Torr、大于或约为3Torr、大于或约为4Torr、大于或约为5Torr、大于或约为6Torr、大于或约为7Torr或更大。
处理方法200的实施例可进一步包括在处理腔室的基板处理区域中产生沉积等离子体210。可通过向已流至基板处理区域中的沉积前驱物输送等离子体功率来产生沉积等离子体。在一些实施例中,可通过电耦合至处理腔室内至少一个电极的射频(RF)电源来输送等离子体功率。在实施例中,RF电源可向至少一个电极输送功率,该至少一个电极在处理腔室的基板处理区域中产生电场,其激励沉积前驱物以形成沉积等离子体。在进一步实施例中,输送至沉积前驱物的等离子体功率可小于或约为60瓦特、小于或约为55瓦特、小于或约为50瓦特、小于或约为45瓦特、小于或约为40瓦特、小于或约为35瓦特、小于或约为30瓦特或更小。在又进一步实施例中,输送至沉积前驱物的RF功率的频率在一个非限制性示例中可以是13.56MHz。在一些实施例中,可连续供应输送至沉积前驱物的等离子体功率,而在附加实施例中,等离子体功率可以是脉冲的。在脉冲的实施例中,所输送的RF等离子体功率可具有可小于或约为10kHz、并且可小于或约为9kHz、小于或约为8kHz、小于或约为7kHz、小于或约为6kHz、小于或约为5kHz、小于或约为4kHz、小于或约为3kHz、小于或约为2kHz、小于或约为1kHz或更小的脉冲频率。在一些脉冲的实施例中,等离子体功率的占空比的关闭部分可允许等离子体流出物在刚沉积的含硅和氮材料中更多地扩散。在进一步实施例中,等离子体流出物的更长扩散时间可形成更均匀的刚沉积的材料。
处理方法200的实施例可进一步包括由沉积等离子体在基板处理腔室中的基板上沉积含硅和氮材料215。在一些实施例中,刚沉积的含硅和氮材料可以是氮化硅。在附加实施例中,刚沉积的含硅和氮材料可由所并入氢的量表征。在实施例中,刚沉积材料中所并入氢的量可大于或约为5at.%、大于或约为6at.%、大于或约为7at.%、大于或约为8at.%、大于或约为9at.%、大于或约为10at.%或更大。刚沉积的含硅和氮材料中大量的所并入氢可影响低应力材料的沉积。在一些实施例中,刚沉积的含硅和氮材料可具有小于或约为0.5GPa、小于或约为0.1GPa、小于或约为0.05GPa、小于或约为0.01GPa、小于或约为-0.01GPa、小于或约为-0.1GPa、小于或约为-1GPa或更小的拉伸应力。
在实施例中,可在影响材料的沉积速率的沉积温度下在基板上进行含硅和氮材料的沉积。在附加实施例中,处理腔室的半导体处理区域可由低于或约为550℃、低于或约为500℃、低于或约为475℃、低于或约为450℃、低于或约为425℃、低于或约为400℃、低于或约为375℃、低于或约为350℃或低于或约为300℃或更低的沉积温度表征。通过在低于或约为500℃的温度下沉积,本技术相比于常规的高温沉积可保护器件热预算。在又附加实施例中,可按低于或约为低于或约为/>低于或约为/>低于或约为低于或约为/>低于或约为/>低于或约为/>或更低的沉积速率沉积含硅和氮材料。
如下文所进一步讨论,沉积含硅和氮材料的实施例可包括最终含硅和氮层中的所有材料,或少于整个层的最终含硅和氮层的一部分。在实施例中,基板上刚沉积的含硅和氮材料的厚度可小于或约为小于或约为/>小于或约为/>小于或约为小于或约为/>小于或约为/>小于或约为/>小于或约为/>小于或约为/>小于或约为/>小于或约为/>小于或约为/>小于或约为/>小于或约为/>或更小。在附加实施例中,沉积操作可花费少于或约为100秒、少于或约为75秒、少于或约为60秒、少于或约为30秒、少于或约为15秒、少于或约为10秒、少于或约为5秒、少于或约为2秒、少于或约为1秒或更少。
处理方法200的实施例可附加地包括使一种或多种处理前驱物流入基板处理腔室的基板处理区域中220。处理前驱物的实施例可包括诸如N2的含氮前驱物和诸如氦气、氩气和氖气的惰性气体前驱物。在一些实施例中,处理前驱物可为无氦的。在附加实施例中,处理前驱物可包括也用作沉积前驱物的载气中的一些或全部。例如,实施例包括减少或停止在沉积操作期间使用的含氮和含硅沉积前驱物的流动,同时继续使沉积前驱物中的载气中的至少一者流动。在一些实施例中,在从沉积操作过渡至处理操作期间可以提高载气的流率。在实施例中,处理前驱物进入处理腔室的基板处理区域的流率可大于或约为20,000sccm、大于或约为22,500sccm、大于或约为25,000sccm、大于或约为27,500sccm、大于或约为30,000sccm或更大。在附加实施例中,处理前驱物可包括具有大于或约为15,000sccm、大于或约为16,000sccm、大于或约为17,000sccm、大于或约为18,000sccm、大于或约为19,000sccm、大于或约为20,000sccm或更大的流率的分子氮(N2)。较高的N2流率可在含硅和氮材料中形成附加的Si-N键。在实施例中,相比于刚沉积的材料,经处理的含硅和氮材料中Si-N键的数量可增加大于或约1%、大于或约2%、大于或约3%、大于或约4%、大于或约5%或更多。在进一步实施例中,较高的N2流率可降低经处理的含硅和氮材料的蚀刻速率。在实施例中,相比于刚沉积的材料,经N2处理的含硅和氮材料的蚀刻速率可降低大于或约1%、大于或约2%、大于或约5%、大于或约10%、大于或约15%或更多。
在又更多实施例中,处理前驱物可包括具有大于或约为3000sccm、大于或约为4000sccm、大于或约为5000sccm、大于或约为6000sccm、大于或约为7000sccm、大于或约为8000sccm或更大的流率的氩气。在实施例中,相比于刚沉积的材料,经氩气处理的含硅和氮材料的蚀刻速率可降低大于或约1%、大于或约2%、大于或约5%、大于或约10%、大于或约15%或更多。
在附加实施例中,处理前驱物向基板处理腔室中的流动可增加腔室中的压力。在一些实施例中,处理操作期间处理腔室中的压力可大于沉积操作期间处理腔室中的压力。在实施例中,处理前驱物流动期间基板腔室中的压力可表征为大于或约为3Torr、大于或约为4Torr、大于或约为5Torr、大于或约为6Torr、大于或约为7Torr、大于或约为8Torr、大于或约为9Torr、大于或约为10Torr或更大。在实施例中,增加的腔室压力可增加经处理的含硅和氮材料的应力。在一些实施例中,相比于刚沉积的材料,增加的腔室压力可使经处理的含硅和氮材料中的应力增加大于或约2%、大于或约5%、大于或约10%、大于或约25%、大于或约50%或更多。在附加实施例中,增加的腔室压力也可增加经处理的含硅和氮材料的蚀刻速率。在实施例中,相比于刚沉积的材料,增加的腔室压力可使经处理的含硅和氮材料中的蚀刻速率增加大于或约1%、大于或约2%、大于或约5%、大于或约10%、大于或约25%或更多。
在实施例中,处理前驱物的流率大于沉积前驱物的流率。例如,在处理前驱物包括沉积前驱物中的载气中的一者或多者的实施例中,处理前驱物中的载气中的一者或多者的流率大于沉积前驱物中的载气的流率。在实施例中,处理前驱物与沉积前驱物的流率比可大于或约为1:1、大于或约为1.25:1、大于或约为1.5:1、大于或约为1.75:1、大于或约为2:1或更大。在沉积与处理操作之间的前驱物流率增大的实施例中,相比于常规的沉积-处理方法中观察到的,经处理的含硅和氮材料中的拉伸应力可在较短的时间段内增加较大量。
处理方法200的实施例可进一步包括在处理腔室的基板处理区域中产生处理等离子体225。可通过向已流入基板处理区域中的处理前驱物输送等离子体功率来产生处理等离子体。在一些实施例中,可通过用于输送沉积等离子体功率的相同的射频(RF)电源和通过相同的系统电极来输送处理等离子体功率。在附加实施例中,处理等离子体功率可大于激励沉积等离子体的沉积等离子体功率。在实施例中,处理等离子体功率可大于60瓦、大于或约为70瓦、大于或约为80瓦、大于或约为90瓦、大于或约为100瓦、大于或约为110瓦、大于或约为120瓦、大于或约为130瓦、大于或约为140瓦、大于或约为150瓦或更大。提高等离子体功率可增加解离和用于在膜内轰击和分配的可用自由基。在又进一步实施例中,输送至处理前驱物的RF功率的频率在一个非限制性示例中可以是13.56MHz。在一些实施例中,可连续供应输送至处理前驱物的等离子体功率,而在附加实施例中,等离子体功率可以是脉冲的。
在一些实施例中,在从沉积至处理等离子体的过渡期间以连续波输送等离子体功率。这可减少形成拉伸应力的含硅和氮层的每个沉积-处理循环的时间。在执行若干沉积-处理循环以完成层的形成的实施例中,处理时间的累积减少可以是实质性的。在进一步实施例中,处理操作期间等离子体功率的增大也可以提高完全形成的含硅和氮层中的拉伸应力水平。
处理方法200的实施例可进一步包括用处理等离子体在基板处理腔室中的基板上处理刚沉积的含硅和氮材料230。在一些实施例中,处理等离子体暴露时间可大于或约为1秒、大于或约为2秒、大于或约为5秒、大于或约为10秒、大于或约为15秒、大于或约为30秒、大于或约为45秒、大于或约为60秒或更长。在实施例中,刚沉积的含硅和氮材料暴露于处理等离子体的暴露时间可取决于刚沉积的材料的厚度。在附加实施例中,暴露时间可大于或约为每埃沉积材料0.1秒在又附加实施例中,暴露时间可大于或约为大于或约为/>大于或约为/>大于或约为/>大于或约为/>大于或约为/>大于或约为/>大于或约为/>大于或约为/>大于或约为/>或更长。
在实施例中,可在影响材料的处理速率的处理温度下在基板上进行含硅和氮材料的处理。在附加实施例中,处理腔室的半导体处理区域可由低于或约为550℃、低于或约为500℃、低于或约为475℃、低于或约为450℃、低于或约为425℃、低于或约为400℃、低于或约为375℃、低于或约为350℃或低于或约为300℃或更低的处理温度表征。在一些实施例中,提高的处理温度可降低经处理的含硅和氮材料中氢的原子百分比。在实施例中,相比于刚沉积的材料,经处理的含硅和氮材料中氢的原子百分比可降低大于或约1%、大于或约2.5%、大于或约5%、大于或约7.5%、大于或约10%或更多。
在实施例中,处理操作可产生拉伸的含硅和氮材料。在进一步实施例中,经处理的含硅和氮材料可具有大于或约为0.8GPa、大于或约为0.9GPa、大于或约为1GPa、大于或约为1.1GPa、大于或约为1.2GPa、大于或约为1.3GPa、大于或约为1.4GPa、大于或约为1.5GPa或更大的拉伸应力。在实施例中,处理操作也可以产生具有降低的所并入的氢水平的经处理的含硅和氮材料。在实施例中,经处理的材料中并入的氢的量可小于或约为3at.%、小于或约为2at.%、小于或约为1at.%、小于或约为0.5at.%、小于或约为0.2at.%、小于或约为0.1at.%或更小。减少的经处理的含硅和氮材料中所并入的氢的量可导致用增加的拉伸应力沉积材料。在进一步实施例中,减少的所并入的氢的量也可以降低含硅和氮层的湿蚀刻速率。
在本技术的实施例中,对沉积处理腔室内刚沉积的含硅和氮材料的等离子体处理操作消除了将基板移动至UV处理腔室并对材料执行UV处理的需要。UV处理操作的消除降低了形成拉伸的含硅和氮层的处理方法和系统的复杂度,并且减少了处理时间。本技术的这些和其他方面提供更快、更具生产能力并且更经济的在半导体基板上形成拉伸的含硅和氮层的处理方法和系统。
用本技术的实施例达成的生产能力增加可以是在两个或更多个循环中形成含硅和氮层的实施例的累加增加。处理方法200的这些实施例可进一步包括在沉积和处理含硅和氮材料的两个或更多个循环中形成拉伸的含硅和氮层。附加的循环可开始于使附加的沉积前驱物流入基板处理腔室的基板处理区域中,由沉积前驱物产生沉积等离子体210,以及在基板上沉积含硅和氮材料的附加部分。附加的循环也可以包括使一种或多种处理前驱物流入处理腔室的基板处理区域中,产生处理等离子体,以及处理含硅和氮材料的刚沉积的附加部分,以制成拉伸的含硅和氮层的附加部分。
当形成拉伸的含硅和氮层的一部分时,可判定其是否完成了拉伸的含硅和氮层的形成235。如果拉伸的含硅和氮层的一部分完成层的形成,则处理方法可终止240。如果拉伸的含硅和氮层的一部分未完成层的形成,则沉积和处理含硅和氮材料的另一循环可开始。在实施例中,当拉伸的含硅和氮层的厚度大于或约为大于或约为/>大于或约为大于或约为/>大于或约为/>大于或约为/>大于或约为/>或更大时,完整的拉伸的含硅和氮的层形成。
通过处理方法200制成的完成的拉伸的含硅和氮层的实施例可包括大于或约为0.8GPa、大于或约为0.9GPa、大于或约为1GPa、大于或约为1.1GPa、大于或约为1.2GPa、大于或约为1.3GPa、大于或约为1.4GPa、大于或约为1.5GPa或更大的拉伸应力水平。在附加实施例中,完成的拉伸的含硅和氮层可具有小于或约为小于或约为/>小于或约为/> 小于或约为/>小于或约为/>或更小的湿蚀刻速率。在实施例中,拉伸的含硅和氮层的这些特性可使其成为有效的氮化硅蚀刻终止层或硬掩模,其也为相邻图案化材料中精确开口的形成提供支持。如上所述,提高的氮化物层中的拉伸应力降低了在材料中形成开口期间相邻图案化材料以不均匀速率蚀刻的趋势。因此,与拉伸的氮化物层相邻的图案化材料中形成的开口具有较小的粗糙度,如由开口沿其侧壁的降低的线边缘粗糙度(LER)和线宽度粗糙度(LWR)所表征。
图3A-图3B示出了根据本技术的实施例的示例性半导体结构300的横截面图。结构300中所示的实施例包括通过根据本技术的实施例的处理方法和系统形成的拉伸的含硅和氮层308。在图3A中所示的实施例中,结构300也可以包括一层图案化光阻材料302,其与第一图案化材料层304和第二图案化材料层306相邻。在所示的实施例中,第二层图案化材料层306与拉伸的含硅和氮层308直接接触。
在图3A中所示的结构300的实施例中,在拉伸的含硅和氮层308的相对侧上将层示出为图案化材料层304和306。在实施例中,与图案化材料相对的层308的侧上的这些层可包括介电层310,该介电层310可与拉伸的含硅和氮层308直接接触。介电层可由大于或约为的厚度表征,并且可由含硅和氧的介电层表征,诸如氧化硅层。在进一步实施例中,结构300可包括与介电层310相邻的衬垫层312。衬垫层312可由大于或约为/>的厚度表征,并且可以是致密的介电材料层,诸如通过原子层沉积而沉积的氮化硅层。在又进一步实施例中,结构300可包括与衬垫层312相邻的多晶硅层314。多晶硅层可由大于或约为/>的厚度表征,并且可以充当诸如nMOS晶体管(未示出)的半导体器件结构的基板。
在图3A中所示的结构300的实施例中,图案化的光阻材料302可被图案化以允许在第一图案化材料层304和第二图案化材料层306中形成开口(未示出)。在实施例中,这些开口可延伸通过第一图案化材料层304,其可以是由大于或约为的厚度表征的含硅氧和碳层,其可通过旋涂工艺形成。在进一步实施例中,这些开口也可以延伸通过第二图案化材料层306,其可以是由大于或约为/>的厚度表征的含硅氧和碳层,其可通过化学气相沉积工艺形成。在附加实施例中,拉伸的含硅和氮层308可用作蚀刻终止层,其可形成开口的底侧。
图3B示出了结构350的另一实施例,其中开口316a-316c形成在第一图案化材料层304和第二图案化材料层306中。这些开口316a-316c可具有基本上垂直于底侧的侧壁,该底侧包括拉伸的含硅和氮层308的暴露表面。开口316a-316c的侧壁可由平均偏差小于或约为小于或约为/>小于或约为/>小于或约为/>或更小的线边缘粗糙度表征。相比于具有常规的低应力氮化硅层的结构中形成的开口的LER/LWR值,表征结构350的实施例中开口的侧壁的LER/LWR值可以小至少10%。该处理方法和系统不仅在不需要耗时的UV处理操作的情况下提供拉伸的含硅和氮层,其也提供如结构350的半导体结构,其中与拉伸的含硅和氮层相邻的图案化材料中的开口更精确,且相比于常规结构中形成的开口,由减小的线边缘粗糙度/线宽度粗糙度表征。
在前文的描述中,出于解释的目的,已阐述许多细节,以便理解本技术的各个实施例。然而,对于本领域技术人员显而易见的是,可在没有这些细节中的一些或存在额外细节的情况下实践某些实施例。
虽然已公开若干实施例,但本领域技术人员将了解,可在不脱离实施例精神的情况下使用各种修改、替代构造和等效物。附加地,未描述许多已知的工艺和元件,以便避免不必要地模糊本技术。因此,以上描述不应被认为限制本技术的范围。附加地,可按顺序或按步骤描述方法或工艺,但应理解,可同时执行操作或按与所列出的次序不同的次序执行操作。
在提供数值范围的情况下,应理解,除非上下文另有明确规定,否则也具体地公开了该范围的上限和下限之间的小至下限单位最小分数的每个中间值。涵盖所说明范围中的任何所说明值或未说明中间值与任何其他所说明或中间值之间的任何更窄的范围。这些较小范围的上限和下限可独立地包括于范围中或从范围中排除,并且本技术也涵盖每个范围(上限和下限均不包括于较小的范围中,或其中一者或两者均包括于较小的范围中),其中每个范围受到所说明范围中的具体排除的极限的限制。在所说明范围包括极限中的一者或两者的情况下,也包括排除这些所包括的极限中的一者或两者的范围。
如本文和所附权利要求所使用的,除非上下文另有明确指出,否则单数形式的“一(a)”、“一(an)”和“该(the)”包括复数引用。由此,举例而言,提及“一前驱物”包括多个此类前驱物,提及“该层”包括提及本领域技术人员已知的一个或多个层及其等效物,诸如此类。
此外,当用于本说明书和以下权利要求中时,用词“包括(comprise)”、“包括有(comprising)”、“包含(contain)”、“包含有(containing)”、“包括(include)”和“包括(including)”旨在指定所说明的特征、整数、部件或操作的存在,但其不排除一个或多个其他特征、整数、部件、操作、行为或群组的存在或添加。

Claims (20)

1.一种半导体处理方法,所述方法包括:
使包括含氮前驱物、含硅前驱物和载气的沉积气体流入基板处理腔室的基板处理区域中,其中所述含氮前驱物与所述含硅前驱物的流率比大于或约为1:1;
由所述沉积气体产生沉积等离子体,以在所述基板处理腔室中的基板上形成含硅和氮的层;以及
用处理等离子体处理所述含硅和氮的层,其中在无所述含硅前驱物的情况下从所述载气形成所述处理等离子体,并且其中所述处理等离子体中所述载气的流率大于所述沉积等离子体中所述载气的流率。
2.如权利要求1所述的半导体处理方法,其中所述含氮前驱物包含氨,并且其中所述氨具有大于或约为100sccm的流率。
3.如权利要求1所述的半导体处理方法,其中所述含硅前驱物包含硅烷,并且其中所述硅烷具有大于或约为50sccm的流率。
4.如权利要求1所述的半导体处理方法,载气包含分子氮(N2)和氩气,其中所述分子氮具有大于或约为5000sccm的流率,并且所述氩气具有大于或约为2000sccm的流率。
5.如权利要求1所述的半导体处理方法,其中所述含硅和氮的层是以小于或约为/秒的沉积速率形成的。
6.如权利要求1所述的半导体处理方法,其中所述沉积等离子体的所述产生进一步包括:向所述沉积气体输送小于或约为60瓦的等离子体功率。
7.如权利要求1所述的半导体处理方法,其中半导体处理腔室由在所述含硅和氮的层的所述沉积期间的沉积腔室压力表征,所述沉积腔室压力小于所述含硅和氮的层的所述处理期间的所述处理腔室压力。
8.如权利要求1所述的半导体处理方法,其中处理后含硅和氮的层包含氮化硅层,所述氮化硅层由大于或约为1GPa的拉伸应力和小于或约为/分的湿蚀刻速率表征。
9.一种半导体处理方法,所述方法包括:
在基板处理腔室的基板处理区域中的基板上沉积含硅和氮的层,其中所述含硅和氮的层是用从包括含氮前驱物和含硅前驱物的沉积气体产生的沉积等离子体沉积的,并且其中所述沉积等离子体是用第一等离子体功率形成的;以及
用处理等离子体处理所述含硅和氮的层,其中所述处理等离子体是用大于所述第一等离子体功率的第二等离子体功率形成的,并且其中所述含硅和氮的层由大于或约为1Gpa的拉伸应力和小于或约为/分的湿蚀刻速率表征。
10.如权利要求9所述的半导体处理方法,其中所述第一等离子体功率小于或约为60瓦,并且所述第二等离子体功率大于或约为100瓦。
11.如权利要求9所述的半导体处理方法,其中所述含硅和氮的层包含氢水平小于或约为3at.%的氮化硅层。
12.如权利要求9所述的半导体处理方法,其中所述处理等离子体是在无所述含硅前驱物或所述含氮前驱物的情况下从处理气体形成的。
13.如权利要求9所述的半导体处理方法,其中所述处理等离子体是从包括分子氮(N2)的处理气体形成的,并且其中所述分子氮被以大于或约为10000sccm的氮流率输送至所述半导体处理腔室。
14.如权利要求9所述的半导体处理方法,其中所述含硅和氮的层是以小于或约为/秒的沉积速率形成的。
15.一种半导体处理方法,所述方法包括:
形成氮化硅层,其中所述氮化硅层是通过两个或更多个循环形成的,所述循环包括:
在基板处理腔室的基板处理区域中的基板上沉积氮化硅层的一部分,其中所述氮化硅层的所述部分被沉积至小于或约为的厚度,以及
用处理等离子体处理所述氮化硅层的所述部分,其中所述处理等离子体使所述氮化硅层的所述经处理部分的拉伸应力和湿蚀刻速率相比于所述刚沉积的部分增加,并且其中所述氮化硅层的所述经处理部分由小于或约为3at.%的氢水平表征。
16.如权利要求15所述的半导体处理方法,其中所述整个氮化硅层具有大于或约为的厚度。
17.如权利要求15所述的半导体处理方法,其中用所述处理等离子体处理所述氮化硅层的所述部分达少于或约为15秒。
18.如权利要求15所述的半导体处理方法,其中所述氮化硅层的所述部分是从沉积等离子体沉积的,所述沉积等离子体从输送至所述基板处理腔室的沉积气体产生,并且其中所述沉积气体包括含氮前驱物、含硅前驱物,并且进一步其中所述含氮前驱物具有小于或约为200sccm的流率,并且所述含硅前驱物具有小于100sccm的流率。
19.如权利要求15所述的半导体处理方法,其中所述氮化硅层的所述部分是以小于或约为/秒的沉积速率形成的。
20.如权利要求15所述的半导体处理方法,其中所述整个氮化硅层由大于或约为1GPa的拉伸应力和小于或约为/分的湿蚀刻速率表征。
CN202180086356.XA 2020-10-23 2021-10-19 拉伸的氮化物沉积系统和方法 Pending CN116635977A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/078,793 2020-10-23
US17/078,793 US11710631B2 (en) 2020-10-23 2020-10-23 Tensile nitride deposition systems and methods
PCT/US2021/055628 WO2022086974A1 (en) 2020-10-23 2021-10-19 Tensile nitride deposition systems and methods

Publications (1)

Publication Number Publication Date
CN116635977A true CN116635977A (zh) 2023-08-22

Family

ID=81257503

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180086356.XA Pending CN116635977A (zh) 2020-10-23 2021-10-19 拉伸的氮化物沉积系统和方法

Country Status (6)

Country Link
US (1) US11710631B2 (zh)
JP (1) JP2023546949A (zh)
KR (1) KR20230085209A (zh)
CN (1) CN116635977A (zh)
TW (1) TWI819398B (zh)
WO (1) WO2022086974A1 (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10223954A1 (de) 2002-05-29 2003-12-11 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren zum Abscheiden von Siliziumnitrid oder Siliziumoxinitrid, Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US8563095B2 (en) 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD

Also Published As

Publication number Publication date
US20220130661A1 (en) 2022-04-28
WO2022086974A1 (en) 2022-04-28
TWI819398B (zh) 2023-10-21
KR20230085209A (ko) 2023-06-13
JP2023546949A (ja) 2023-11-08
TW202225453A (zh) 2022-07-01
US11710631B2 (en) 2023-07-25

Similar Documents

Publication Publication Date Title
US10790140B2 (en) High deposition rate and high quality nitride
TWI794883B (zh) 可流動膜形成及處理
US11939674B2 (en) Methods to reduce material surface roughness
CN117321242A (zh) 无氦硅形成
CN116635977A (zh) 拉伸的氮化物沉积系统和方法
TWI794691B (zh) 高硼含量硬遮罩材料及其形成方法
TWI806337B (zh) 低k碳氮化硼薄膜
US11862458B2 (en) Directional selective deposition
US11894228B2 (en) Treatments for controlling deposition defects
TWI764369B (zh) 減少氫沉積製程
CN117999640A (zh) 高深宽比间隙填充内的缝隙移除
CN114867890A (zh) 用于等离子体沉积的初始调制
CN116157549A (zh) 薄膜层的脉冲等离子体沉积
TW202407758A (zh) 使用體積膨脹的大面積間隙填充
CN117795646A (zh) 用于掩模图案化的氮化硼
CN116711050A (zh) 控制栅极凹槽的轮廓成型

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination