CN116560196A - 确定由图案化工艺形成的目标的所关注参数的值的方法 - Google Patents

确定由图案化工艺形成的目标的所关注参数的值的方法 Download PDF

Info

Publication number
CN116560196A
CN116560196A CN202310536654.5A CN202310536654A CN116560196A CN 116560196 A CN116560196 A CN 116560196A CN 202310536654 A CN202310536654 A CN 202310536654A CN 116560196 A CN116560196 A CN 116560196A
Authority
CN
China
Prior art keywords
interest
parameter
metric
target
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310536654.5A
Other languages
English (en)
Inventor
S·U·雷曼
A·蔡亚马斯
S·塔拉布林
J·J·维塞拉尔
M·V·梅德韦德耶瓦
A·奥诺塞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN116560196A publication Critical patent/CN116560196A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Character Discrimination (AREA)
  • Electron Beam Exposure (AREA)
  • Feedback Control In General (AREA)
  • Image Analysis (AREA)

Abstract

公开了确定所关注参数的值的方法。在一种布置中,导出来自照射目标的检测到的光瞳表示的对称分量和非对称分量。表征对称分量的第一度量和表征非对称分量的第二度量在所关注参数的值的参考范围上根据所关注参数非单调地变化。使用所导出的对称分量和所导出的非对称分量的组合来从所关注参数的多个候选值中标识正确值。

Description

确定由图案化工艺形成的目标的所关注参数的值的方法
分案申请说明
本申请是申请日为2019年04月10日、申请号为201980026801.6、名称为“确定由图案化工艺形成的目标的所关注参数的值的方法”的中国专利申请的分案申请。
技术领域
本公开涉及确定通过图案化工艺(诸如使用光刻的图案化工艺)形成的目标的所关注参数的值。
背景技术
光刻装置是一种将期望图案施加到衬底上、通常施加到衬底的目标部分上的机器。光刻装置可以用于例如集成电路(IC)的制造中。在这种情况下,可以将图案形成装置(替代地称为掩模或掩模版)用于生成要形成在IC的单个层上的电路图案。该图案可以被转印到衬底(例如,硅晶片)上的目标部分(例如,包括管芯的一部分、一个或几个管芯)上。图案的转印通常是经由成像到设置在衬底上的辐射敏感材料(抗蚀剂)层上来进行的。通常,单个衬底将包含被连续图案化的相邻目标部分的网络。
制造诸如半导体器件等器件通常涉及使用很多制造工艺来处理衬底(例如,半导体晶片)以形成器件的各种特征并且通常形成器件的多个层。这样的层和/或特征通常使用例如沉积、光刻、蚀刻、化学机械抛光和离子注入来制造和处理。可以在衬底上的多个管芯上制造多个器件,并且然后将其分离成个体器件。该器件制造工艺可以被认为是图案化工艺。图案化工艺涉及图案转印步骤,诸如使用光刻装置进行光学和/或纳米压印光刻以在衬底上提供图案,并且通常但可选地涉及一个或多个相关的图案处理步骤,诸如通过显影装置进行抗蚀剂显影,使用烘烤工具进行衬底的烘烤,通过蚀刻装置对图案进行蚀刻等等。此外,图案化工艺涉及一种或多种量测过程。
在图案化工艺期间的各个步骤使用量测过程以监测和/或控制该过程。例如,量测过程用于测量衬底的一个或多个特性,诸如在图案化工艺中在衬底上形成的特征的相对位置(例如,对齐、套刻、对准等)或尺寸(例如,线宽、临界尺寸(CD)、厚度等),使得例如,可以根据一个或多个特性来确定图案化工艺的性能。如果一个或多个特性不可接受(例如,超出特性的预定范围),则可以例如基于对一个或多个特性的测量来设计或更改图案化工艺的一个或多个变量,使得通过图案化工艺制造的衬底具有可接受的特性。
数十年来,随着光刻技术和其他图案化工艺技术的发展,功能元件的尺寸不断减小,同时每个器件的功能元件(诸如晶体管)的数量稳定增加。同时,在套刻、临界尺寸(CD)等方面对精度的要求变得越来越严格。图案化工艺中不可避免地会产生诸如套刻误差、CD误差等误差。例如,成像误差可能是由光学像差、图案形成装置加热、图案形成装置误差、和/或衬底加热产生的,并且可以通过例如套刻、CD等来表征该成像误差。另外地或替代地,误差可能被引入到图案化工艺的其他部分(诸如蚀刻、显影、烘烤等)中,并且类似地可以通过例如套刻、CD等来表征该误差。误差可能会导致器件功能出现问题,包括器件无法运行或运行器件的一个或多个电气问题。因此,期望能够表征这些误差中的一个或多个并且采取步骤来设计、修改、控制等图案化工艺,从而减少或最小化这些误差中的一个或多个。
各种工具可用于执行量测过程,包括各种形式的散射仪。这些设备将辐射束引导到量测目标上,并且测量散射辐射的一个或多个属性,例如,根据波长而变化的单个反射角或整个反射角范围内的强度;根据反射角而变化的在一个或多个波长处的强度;或者根据反射角而变化的偏振,以获取“光谱”,从该“光谱”中可以确定目标的所关注属性。所关注属性的确定可以通过各种技术来执行:例如,通过使用严格的耦合波分析或有限元方法实现的迭代方法来重构量测目标;库搜索;以及主分量分析。
随着功能元件的尺寸变小,充分准确和明确地测量所关注参数的值变得越来越具有挑战性。
发明内容
期望改进用于测量目标的现有方法。
根据本发明的一个方面,提供了一种确定通过图案化工艺在衬底上形成的目标的所关注参数的值的方法,该方法包括:导出检测到的光瞳表示的对称分量和非对称分量,检测到的光瞳表示通过执行测量过程可获取,该测量过程包括用辐射照射目标并且检测由目标重定向的辐射,其中:目标类型和测量过程使得表征对称分量的第一度量和表征非对称分量的第二度量在所关注参数的值的参考范围内根据所关注参数非单调地变化;并且该方法包括使用所导出的对称分量和所导出的非对称分量的组合来标识:所关注参数的多个候选值中由于第一度量的非单调变化而全部对应于与目标的所导出的对称分量相对应的第一度量的值的正确值;或者所关注参数的多个候选值中由于第二度量的非单调变化而全部对应于与目标的所导出的非对称分量相对应的第二度量的值的正确值。
附图说明
现在将仅通过示例的方式参考所附的示意图来描述本发明的实施例,在附图中,对应附图标记指示对应部件,并且在附图中:
图1示意性地描绘了光刻装置的实施例;
图2示意性地描绘了光刻制造单元或簇的实施例;
图3A是根据一个实施例的使用提供某些照射模式的第一对照射孔径的用于测量目标的测量装置的示意图;
图3B是给定照射方向的目标的衍射光谱的示意图;
图3C是在使用测量装置进行基于衍射的套刻测量时提供其他照射模式的第二对照射孔径的示意图;
图3D是在使用测量装置进行基于衍射的套刻测量时提供其他照射模式的组合第一对孔径和第二对孔径的第三对照射孔径的示意图;
图4示意性地描绘了多个周期性结构(例如,多个光栅)目标的形式以及衬底上的测量点的轮廓;
图5示意性地描绘了在图3的装置中获取的图4的目标的图像;
图6示意性地描绘了量测装置和量测技术的示例;
图7示意性地描绘了量测装置的示例;
图8示出了量测装置的照射点与量测目标之间的关系;
图9示意性地描绘了基于测量数据来导出一个或多个所关注变量的过程;
图10A示意性地描绘了示例单位单元、相关联的光瞳表示和相关联的导出光瞳表示;
图10B示意性地描绘了示例单位单元、相关联的光瞳表示和相关联的导出光瞳表示;
图10C示意性地描绘了包括单位单元的一个或多个物理实例的示例目标;
图11是示意性地描绘检测到的光瞳表示的非对称分量的度量的预期变化与所关注参数的值之间的关系的图;
图12描绘了描述根据一个实施例的用于确定所关注参数的值的方法的流程图;
图13是示意性地描绘在周期性变化的四个象限内检测到的光瞳表示的对称分量的第一度量和检测到的光瞳表示的非对称分量的第二度量的预期变化与所关注参数的值之间的关系的图;
图14描绘了根据一个实施例的用于数据驱动方法的替代目标采样方案;
图15描绘了描述根据一个实施例的用于确定所关注参数的值的模型驱动方法的第一示例的流程图;
图16描绘了描述根据一个实施例的用于确定所关注参数的值的模型驱动方法的第二示例的流程图;
图17描绘了描述根据一个实施例的用于确定所关注参数的值的模型驱动方法的第三示例的流程图;以及
图18描绘了可以实现本公开的实施例的计算机系统。
具体实施方式
在详细描述实施例之前,介绍可以在其中实现实施例的示例环境是有益的。
图1示意性地描绘了光刻装置LA。该装置包括:
-照射系统(照射器)IL,被配置为调节辐射束B(例如,UV辐
射或DUV辐射);
-支撑结构(例如,掩模台)MT,被构造为支撑图案形成装置(例如,掩模)MA并且连接到第一定位器PM,该第一定位器PM被配置为根据某些参数准确地定位图案形成装置;
-衬底台(例如,晶片台)WT,被构造为保持衬底(例如,涂覆有抗蚀剂的晶片)W并且连接到第二定位器PW,该第二定位器PW被配置为根据某些参数准确地定位衬底;以及
-投影系统(例如,折射投影透镜系统)PS,被配置为将通过图案形成装置MA赋予到辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个管芯)上,投影系统被支撑在参考框架(RF)上。
照射系统可以包括用于引导、整形或控制辐射的各种类型的光学组件,诸如折射、反射、磁性、电磁、静电或其他类型的光学组件或其任何组合。
支撑结构以取决于图案形成装置的方向、光刻装置的设计和其他条件(诸如例如,图案形成装置是否被保持在真空环境中)的方式来支撑图案形成装置。支撑结构可以使用机械、真空、静电或其他夹紧技术来保持图案形成装置。支撑结构可以是例如框架或台,其可以根据需要是固定的或可移动的。支撑结构可以确保图案形成装置例如相对于投影系统处于期望位置。本文中对术语“掩模版”或“掩模”的任何使用可以被认为与更通用的术语“图案形成装置”同义。
本文中使用的术语“图案形成装置”应当广义地解释为是指可以用于在衬底的目标部分中施加图案的任何装置。在一个实施例中,图案形成装置是可以用于向辐射束的横截面赋予图案以在衬底的目标部分中产生图案的任何装置。应当注意,例如,如果图案包括相移特征或所谓的辅助特征,则赋予辐射束的图案可能不完全对应于衬底的目标部分中的期望图案。通常,赋予辐射束的图案将对应于在目标部分中产生的器件(诸如集成电路)中的特定功能层。
图案形成装置可以是透射的或反射的。图案形成装置的示例包括掩模、可编程反射镜阵列和可编程液晶显示器(LCD)面板。掩模在光刻中是众所周知的,并且包括诸如二进制、交替相移和衰减相移等掩模类型、以及各种混合掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每个小反射镜可以个体地倾斜,以便在不同方向上反射入射的辐射束。倾斜的反射镜在辐射束中赋予图案,该辐射束被反射镜矩阵反射。
本文中使用的术语“投影系统”应当广义地解释为涵盖任何类型的投影系统,包括折射、反射、折反射、磁性、电磁和静电光学系统、或其任何组合,以适合于所使用的曝光辐射,或者适合于其他因素,诸如浸没液体的使用或真空的使用。本文中对术语“投影透镜”的任何使用可以被认为与更通用的术语“投影系统”同义。
投影系统PS具有光学传递函数,其可能不均匀且可影响在衬底W上成像的图案。对于非偏振辐射,这种影响可以通过两个标量映射图很好地描述,这两个标量映射图描述根据射出投影系统PS的辐射的在光瞳平面中的位置而变化的该辐射的透射(变迹)和相对相位(像差)。这些标量映射图(其可以称为透射映射图和相对相位映射图)可以表示为基函数全集的线性组合。一个特别方便的集合是Zernike多项式,它形成在单位圆上定义的一组正交多项式。每个标量映射图的确定可以涉及确定这种扩展中的系数。由于Zernike多项式在单位圆上正交,因此可以通过依次计算所测量的标量映射图与每个Zernike多项式的内积并且将此内积除以该Zernike多项式的范数的平方来确定Zernike系数。
透射映射图和相对相位映射图取决于场和系统。也就是说,通常,每个投影系统PS对于每个场点(即,对于投影系统PS的图像平面中的每个空间位置)将具有一个不同的Zernike扩展。通过例如将来自投影系统PS的物平面(即,图案形成装置MA的平面)中的点状源的辐射投影通过投影系统PS并且使用剪切干涉仪测量波前(即,具有相同相位的点的轨迹),可以确定投影系统PS在其光瞳平面中的相对相位。剪切干涉仪是共同路径干涉仪,并且因此,有利地,不需要次级参考光束来测量波前。剪切干涉仪可以包括:衍射光栅,例如在投影系统的图像平面(即,衬底台WT)中的二维栅格;以及检测器,被布置为检测与投影系统PS的光瞳平面共轭的平面中的干涉图案。干涉图案与辐射的相位相对于在剪切方向上在光瞳平面中的坐标的导数有关。检测器可以包括感测元件(诸如例如,电荷耦合器件(CCD))的阵列。
光刻装置的投影系统PS可能不会产生可见的条纹,并且因此可以使用诸如例如移动衍射光栅等相位步进技术来增强波前的确定的准确性。可以在衍射光栅的平面中并且在垂直于测量的扫描方向的方向上执行步进。步进范围可以是一个光栅周期,并且可以使用至少三个(均匀分布)的相位步进。因此,例如,可以在y方向上执行三个扫描测量,对于x方向上的一个不同位置执行每个扫描测量。衍射光栅的这种步进将相位变化有效地转换为强度变化,从而可以确定相位信息。光栅可以在垂直于衍射光栅的方向(z方向)上步进,以校准检测器。
通过例如将来自投影系统PS的物平面(即,图案形成装置MA的平面)中的点状源的辐射投影通过投影系统PS并且使用检测器测量与投影系统PS的光瞳平面共轭的平面中的辐射强度,可以确定投影系统PS在其光瞳平面中的透射(变迹)。可以使用与用于测量波前以确定像差的检测器相同的检测器。
投影系统PS可以包括多个光学(例如,透镜)元件,并且还可以包括调节机构AM,该调节机构AM被配置为调节一个或多个光学元件以校正像差(贯穿场的整个光瞳平面上的相位变化)。为了实现这一点,调节机构可以可操作,从而以一种或多种不同方式来操纵投影系统PS内的一个或多个光学(例如,透镜)元件。投影系统可以具有坐标系,其中其光轴在z方向上延伸。调节机构可操作以进行以下各项的任何组合:使一个或多个光学元件移位;使一个或多个光学元件倾斜;和/或使一个或多个光学元件变形。光学元件的位移可以在任何方向(x、y、z或其组合)上进行。光学元件的倾斜通常是通过在x和/或y方向上绕轴旋转而在垂直于光轴的平面之外发生,但对于非旋转对称的非球面光学元件可使用绕z轴的旋转。光学元件的变形可以包括低频形状(例如,像散)和/或高频形状(例如,自由形式的非球面)。例如通过使用一个或多个致动器以在光学元件的一个或多个侧面上施加力和/或通过使用一个或多个加热元件来加热光学元件的一个或多个选定区域,可以进行光学元件的变形。通常,可能无法调节投影系统PS来校正变迹(跨光瞳平面的透射变化)。当设计用于光刻装置LA的图案形成装置(例如,掩模)MA时,可以使用投影系统PS的透射映射图。使用计算光刻技术,可以将图案形成装置MA设计为至少部分地校正变迹。
如这里描绘的,该装置是透射型的(例如,采用透射掩模)。替代地,该装置可以是反射型的(例如,采用上述类型的可编程反射镜阵列,或者采用反射掩模)。
光刻装置可以是具有两个(双级)或更多个工作台(例如,两个或更多个衬底台WTa、WTb、两个或更多个图案形成装置台、和在没有专用于例如便于测量和/或清洁等的衬底的情况下在投影系统下方的衬底台WTa和工作台WTb)的类型。在这种“多级”机器中,可以并行使用附加工作台,或者可以在一个或多个工作台上执行准备步骤,同时使用一个或多个其他工作台进行曝光。例如,可以进行使用对准传感器AS的对准测量和/或使用水平传感器LS的水平(高度、倾斜等)测量。
光刻装置也可以是如下类型:其中衬底的至少一部分可以被具有相对较高折射率的液体(例如,水)覆盖,以填充投影系统与衬底之间的空间。也可以将浸没液体施加到光刻装置中的其他空间,例如在图案形成装置与投影系统之间。浸没技术在本领域中是众所周知的,其用于增加投影系统的数值孔径。如本文中使用的术语“浸没”并不表示诸如衬底等结构必须淹没在液体中,而是仅表示液体在曝光期间位于投影系统与衬底之间。
参考图1,照射器IL接收来自辐射源SO的辐射束。光源和光刻装置可以是单独的实体,例如当光源是准分子激光器时。在这种情况下,不认为源是光刻装置的一部分,并且借助于包括例如合适的导向镜和/或扩束器的光束传递系统BD,将辐射束从源SO传递到照射器IL。在其他情况下,例如当源是水银灯时,源可以是光刻装置的组成部分。可以将源SO和照射器IL以及光束传递系统BD(如果需要的话)一起称为辐射系统。
照射器IL可以包括被配置为调节辐射束的角强度分布的调节器AD。通常,可以调节照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别称为σ外部和σ内部)。另外,照射器IL可以包括各种其他组件,诸如积分器IN和聚束器CO。照射器可以用于调节辐射束,以在其横截面中具有期望的均匀性和强度分布。
辐射束B入射在被保持在支撑结构(例如,掩模台)MT上的图案形成装置(例如,掩模)MA上,并且由图案形成装置图案化。在穿过图案形成装置MA之后,辐射束B穿过投影系统PS,该投影系统PS将光束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置传感器IF(例如,干涉仪、线性编码器、二维编码器或电容传感器),可以准确地移动衬底台WT,例如,以便在辐射束B的路径中定位不同的目标部分C。类似地,第一定位器PM和另一位置传感器(其未在图1中明确示出)可以用于相对于辐射束B的路径准确地定位图案形成装置MA,例如在从掩模库中进行机械检索之后或者在扫描期间。通常,可以借助于形成第一定位器PM的一部分的长行程模块(粗略定位)和短行程模块(精细定位)来实现支撑结构MT的移动。类似地,可以使用形成第二定位器PW的一部分的长行程模块和短行程模块来实现衬底台WT的移动。在步进器的情况下(与扫描仪相反),支撑结构MT可以仅连接到短行程致动器,或者可以是固定的。可以使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA和衬底W。尽管所示出的衬底对准标记占据专用的目标部分,但是它们可以位于目标部分之间的空间中(这些被称为划道对准标记)。类似地,在图案形成装置MA上提供有一个以上的管芯的情况下,图案形成装置对准标记可以位于管芯之间。
所描绘的装置可以在以下模式中的至少一种模式下使用:
1.在步进模式下,支撑结构MT和衬底台WT基本上保持静止,同时将赋予辐射束的整个图案一次投影到目标部分C上(即,单次静态曝光)。然后,衬底台WT在X和/或Y方向上移动,使得可以暴露不同的目标部分C。在步进模式下,曝光场的最大大小限制了在单次静态曝光中成像的目标部分C的大小。
2.在扫描模式下,同步地扫描支撑结构MT和衬底台WT,同时将赋予辐射束的图案投影到目标部分C上(即,单次动态曝光)。可以通过投影系统PS的(缩小)放大率和图像反转特性来确定衬底台WT相对于支撑结构MT的速度和方向。在扫描模式下,曝光场的最大大小限制了单次动态曝光中目标部分的宽度(在非扫描方向上),而扫描运动的长度决定了目标部分的高度(在扫描方向上)。
3.在另一模式下,支撑结构MT保持基本静止以保持可编程图案形成装置,并且移动或扫描衬底台WT,同时将赋予辐射束的图案投影到目标部分C上。在这种模式下,在衬底台WT的每次移动之后或者在扫描期间的连续辐射脉冲之间,通常采用脉冲辐射源并且根据需要来更新可编程图案形成装置。这种操作模式可以容易地应用于利用可编程图案形成装置的无掩模光刻,诸如上述类型的可编程反射镜阵列。
也可以采用上述使用模式或完全不同的使用模式的组合和/或变体。
如图2所示,光刻装置LA可以形成光刻制造单元LC(有时也称为光刻制造单元簇)的一部分,该光刻制造单元还包括用于在衬底上执行曝光前和曝光后工艺的装置。通常,这些包括用于沉积一个或多个抗蚀剂层的一个或多个旋涂器SC、用于显影曝光的抗蚀剂的一个或多个显影剂DE、一个或多个激冷板CH和/或一个或多个烘烤板BK。衬底处理机或机械手RO从输入/输出端口I/O1、I/O2拾取一个或多个衬底,在不同的处理装置之间移动它们,并且将它们传送到光刻装置的进料台LB。这些装置(通常统称为涂覆显影系统)在涂覆显影系统控制单元TCU的控制下,涂覆显影系统控制单元TCU本身由监督控制系统SCS控制,监督系统SCS也经由光刻控制单元LACU来控制光刻装置。因此,可以操作不同的装置以使生产量和处理效率最大化。
为了使光刻装置所曝光的衬底正确且一致地曝光,期望检查曝光的衬底以测量或确定一种或多种特性,诸如套刻(例如,其可以在上覆层中的结构之间,或者在同一层中通过例如双重图案化工艺而分开地提供给该层的结构之间)、线宽、临界尺寸(CD)、焦点偏移、材料性质等。因此,光刻制造单元LC通常也位于其中的制造设施包括量测系统MET,该量测系统MET接收在光刻制造单元中已经处理过的一些或全部衬底W。量测系统MET可以是光刻制造单元LC的一部分,例如它可以是光刻装置LA的一部分。
量测结果可以直接或间接地提供给监督控制系统SCS。如果检测到误差,则可以对后续衬底的曝光进行调节(特别是在可以尽快且足够快地进行检查以使批次中的一个或多个其他衬底仍然要曝光的情况下),和/或对已曝光的衬底的后续曝光进行调节。而且,可以剥离和再加工已经曝光的衬底以提高产率,或者将其丢弃,从而避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的目标部分执行进一步的曝光。
在量测系统MET中,量测装置用于确定衬底的一个或多个性质,尤其是确定不同衬底的一个或多个性质如何变化或者同一衬底的不同层之间如何变化。量测装置可以被集成到光刻装置LA或光刻制造单元LC中,或者可以是独立设备。为了能够进行快速测量,期望量测装置在曝光之后立即测量曝光的抗蚀剂层中的一种或多种属性。但是,抗蚀剂中的潜像的对比度较低,已曝光至辐射的抗蚀剂部分与未暴露于辐射的抗蚀剂部分之间只有很小的折射率差异,并且并非所有量测装置都具有足够的感光度对潜像进行有用的测量。因此,可以在曝光后烘烤步骤(PEB)之后进行测量,该曝光后烘烤步骤通常是在曝光的衬底上执行的第一步骤并且会增加抗蚀剂的已曝光部分与未曝光部分之间的对比度。在这个阶段,抗蚀剂中的图像可以被称为半潜像。也可以对显影后的抗蚀剂图像进行测量(此时已经除去抗蚀剂的已曝光部分或未曝光部分),也可以在图案转印步骤(诸如蚀刻)之后进行测量。后一种可能性限制了对有缺陷的衬底进行返工的可能性,但仍可以提供有用的信息。
为了实现量测,可以在衬底上提供一个或多个目标。在一个实施例中,目标被特别地设计并且可以包括周期性结构。在一个实施例中,目标是器件图案的一部分,例如器件图案的周期性结构。在一个实施例中,器件图案是存储器件的周期性结构(例如,双极晶体管(BPT)、位线接触(BLC)等结构)。
在一个实施例中,衬底上的目标可以包括一个或多个一维周期性结构(例如,光栅),该结构被印刷使得在显影之后,周期性结构特征由固体抗蚀剂线形成。在一个实施例中,目标可以包括一个或多个二维周期性结构(例如,光栅),该结构被印刷使得在显影之后,一个或多个周期性结构由抗蚀剂中的固体抗蚀剂柱或通孔形成。可以将栅条、柱或通孔替代性地蚀刻到衬底中(例如,蚀刻到衬底上的一层或多层中)。
在一个实施例中,图案化工艺的所关注参数之一是套刻。套刻可以使用暗场散射法来测量,在暗场散射法中,零阶衍射(对应于镜面反射)被阻止,而仅处理高阶。暗场测量的示例可以在PCT专利申请出版物No.WO 2009/078708和WO 2009/106279(其全部内容通过引用合并于此)中找到。在美国专利申请公开US2011-0027704、US2011-0043791和US2012-0242970(其全部内容通过引用合并于此)中描述了该技术的进一步发展。使用衍射级的暗场检测的基于衍射的套刻可以实现对较小的目标的套刻测量。这些目标可以小于照射点,并且可以被衬底上的器件产品结构围绕。在一个实施例中,可以在一个辐射捕获中测量多个目标。
图3A中示意性地示出了适用于在实施例中用于测量例如套刻的量测装置。图3B中更详细地示出了目标T(包括诸如光栅等周期性结构)和衍射射线。量测装置可以是独立设备,或者可以并入例如在测量站处的光刻装置LA中,,或者并入光刻制造单元LC中。在整个装置中具有多个分支的光轴用虚线O表示。在该装置中,由输出11(例如,诸如激光或氙气灯等光源或连接到该光源的开口)发出的辐射通过包括透镜12、14和物镜16的光学系统经由透镜15被引导到衬底W上。这些透镜以4F布置的双重序列进行布置。可以使用不同的透镜布置,只要该透镜布置仍将衬底图像提供到检测器上。
在一个实施例中,透镜布置允许进入中间光瞳平面以进行空间频率滤波。因此,可以通过在呈现衬底平面的空间光谱的平面(这里称为(共轭)光瞳平面)中定义空间强度分布来选择辐射入射到衬底上的角度范围。特别地,这可以例如通过在作为物镜光瞳平面的背向投影式图像的平面中在透镜12和14之间插入合适形式的孔径板13来进行此种选择。在所示的示例中,孔径板13具有标记为13N和13S的不同形式,从而允许选择不同的照射模式。本示例中的照射系统形成离轴照射模式。在第一照射模式下,孔径板13N提供来自仅出于描述目的被指定为“北”的方向的离轴照射。在第二照射模式下,孔径板13S用于提供类似的照射,但是类似的照射来自标记为“南”的相反方向。通过使用不同的孔径,其他照射模式也是可能的。光瞳平面的其余部分理想地是暗的,因为在期望的照射模式之外的任何不必要的辐射都可能干扰期望的测量信号。
如图3B所示,将目标T与基本垂直于物镜16的光轴O的衬底W放置在一起。从偏离轴线O的特定角度入射到目标T的照射射线I产生零阶射线(实线0)和两个一阶射线(点链线+1和双点链线-1)。在小目标T过度填充的情况下,这些射线只是覆盖衬底的区域(包括量测目标T和其他特征)的很多平行射线之一。由于板13中的孔径具有有限宽度(为接纳有用的辐射量所必须的),所以入射射线I实际上将占据一定角度范围,并且衍射射线0和+1/-1将稍微散开。根据小目标的点扩展函数,每个+1和-1阶将在特定角度范围内进一步扩展,而不是如图所示的单个理想射线。注意,可以设计或调节周期性结构的节距和照射角度,使得进入物镜的一阶射线与中心光轴紧密对准。图3A和3B所示的射线有些偏轴,纯粹是为了使得它们在图中能够更容易区分。由目标在衬底W上衍射的至少0和+1阶被物镜16收集并且被引导回去以通过棱镜15。
返回图3A,通过指定标记为北(N)和南(S)的沿直径相对的孔径,示出了第一照射模式和第二照射模式。当入射射线I来自光轴的北侧时,即,当使用孔径板13N施加第一照射模式时,被标记为+1(N)的+1衍射射线进入物镜16。相反,当使用孔径板13S施加第二照射模式时,-1衍射射线(标记为-1(S))是进入透镜16的衍射射线。因此,在一个实施例中,通过在某些条件下(例如,在旋转目标或改变照射模式或改变成像模式之后)测量目标两次以分别获取-1和+1衍射级强度,可以获取测量结果。针对给定目标比较这些强度提供了目标中非对称性的量度,并且目标中的非对称性可以用作光刻工艺的参数(例如,套刻)的度量。在上述情况下,改变照射模式。
分束器17将衍射光束分成两个测量分支。在第一测量分支中,光学系统18使用零阶和一阶衍射光束在第一传感器19(例如,CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射阶次到达传感器上的不同点,以便图像处理可以比较和对比若干阶次。由传感器19捕获的光瞳平面图像可以用于聚焦量测装置和/或标准化强度测量。光瞳平面图像还可以用于其他测量目的,诸如重构,如下文中进一步描述的。
在第二测量分支中,光学系统20、22在传感器23(例如,CCD或CMOS传感器)的衬底W上形成目标的图像。在第二测量分支中,在与物镜16的光瞳平面共轭的平面中设置孔径光阑21。孔径光阑21用于阻挡零阶衍射光束,从而在传感器23上形成的目标的图像由-1或+1阶光束形成。关于由传感器19和23测量的图像的数据被输出到处理器和控制器PU,处理器和控制器PU的功能将取决于所执行的特定测量类型。注意,术语“图像”在广义上使用。如果仅存在-1和+1阶之一,则将不会形成周期性结构特征(例如,光栅线)的图像。
图3所示的孔径板13和孔径光阑21的特定形式仅是示例。在另一实施例中,使用目标的同轴照射,并且使用具有离轴孔径的孔径光阑将基本上仅一个一阶衍射辐射传递到传感器。在其他实施例中,代替或除了一阶光束,可以在测量中使用二阶、三阶和更高阶光束(图3中未示出)。
为了使照射适应于这些不同类型的测量,孔径板13可以包括围绕盘形成的多个孔径图案,该盘旋转以将期望图案放置到位。注意,孔径板13N或13S用于测量沿一个方向(取决于设置为X或Y)定向的目标的周期性结构。为了测量正交周期性结构,可以实现目标旋转90°和270°。图3C和D中示出了不同的孔径板。图3C示出了另外两种类型的离轴照射模式。在图3C的第一照射模式下,孔径板13E提供来自仅出于描述目的相对于先前描述的“北”被指定为“东”的方向的离轴照射。在图3C的第二照射模式下,孔径板13W用于提供相似的照射,但是提供来自被标注为为“西”的相反方向的照射。图3D示出了另外两种类型的离轴照射模式。在图3D的第一照射模式下,孔径板13NW从如前所述指定为“北”和“西”的方向提供离轴照射。在第二照射模式下,孔径板13SE用于提供类似的照射,但是提供来自如前所述被标记为“南”和“东”的相反方向的照射。装置的这些以及很多其他变型和应用的使用在例如上述的先前公开的专利申请出版物中进行了描述。
图4描绘了形成在衬底上的示例复合量测目标T。复合目标包括紧密定位在一起的四个周期性结构(在这种情况下为光栅)32、33、34、35。在一个实施例中,可以使周期性结构布局小于测量点(即,周期性结构布局被过度填充)。因此,在一个实施例中,周期性结构足够紧密地定位在一起,使得它们都在由量测装置的照射束形成的测量点31内。因此,在这种情况下,四个周期性结构全部同时被照射并且同时在传感器19和23上被成像。在专用于套刻测量的示例中,周期性结构32、33、34、35本身是通过上覆周期性结构而形成的复合周期性结构(例如,复合光栅),即,在形成在衬底W上的器件的不同层中,对周期性结构进行图案化,并且使得一层中的至少一个周期性结构与另一层中的至少一个周期性结构套刻。这样的目标的外部尺寸可以在20μm×20μm之内或在16μm×16μm之内。此外,所有周期性结构都用于测量特定的一对层之间的套刻。为了促进目标能够测量多于单对层,周期性结构32、33、34、35可以具有被不同地偏置的套刻偏移,以便于测量形成有复合周期性结构的不同部分的不同层之间的套刻。因此,用于衬底上的目标的所有周期性结构将被用于测量一对层,并且用于衬底上的另一相同目标的所有周期性结构将被用于测量另一对层,其中不同的偏置有利于在多个对层之间进行区分。
返回图4,如图所示,周期性结构32、33、34、35的取向也可以不同,以便沿X和Y方向衍射入射辐射。在一个示例中,周期性结构32和34是分别具有偏置+d、-d的X方向周期性结构。周期性结构33和35可以是分别具有+d和-d的Y方向周期性结构。虽然示出了四个周期性结构,但是另一实施例可以包括更大的矩阵以获取期望精度。例如,九个复合周期性结构的3×3阵列可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。这些周期性结构的单独图像(separated images)可以在由传感器23捕获的图像中被标识。
图5示出了在使用图3D的孔径板13NW或13SE的情况下,在图3的装置中使用图4的目标,而可以形成在传感器23上并且由传感器23检测的图像的示例。尽管传感器19不能解析不同的个体周期性结构32至35,但传感器23可以。深色矩形表示传感器上的图像的场,在该场中,衬底上的照射点31被成像到对应圆形区域41中。在其中,矩形区域42-45表示周期性结构32至35的图像。替代定位在划道中或除了定位在划道中之外,还可以将目标定位在器件产品特征中。如果周期性结构位于器件产品区域中,则器件特征在该图像场的外围也可能可见。处理器和控制器PU使用模式识别来处理这些图像以标识周期性结构32至35的单独图像42至45。以这种方式,不必在传感器框架内的特定位置处非常精确地对准图像。整体上提高了测量装置的生产率。
一旦已经标识出周期性结构的单独图像,就可以例如通过对所标识区域内的所选择的像素强度值求平均或求和来测量这些个体图像的强度。图像的强度和/或其他属性可以相互比较。可以将这些结果进行组合以测量光刻工艺的不同参数。套刻性能是这样的参数的一个示例。
在一个实施例中,图案化工艺的所关注参数之一是特征宽度(例如,CD)。图6描绘了能够实现特征宽度确定的高度示意性的示例量测装置(例如,散射仪)。该示例量测装置包括将辐射投影到衬底W上的宽带(白光)辐射投影仪2。重定向辐射被传递到光谱仪检测器4,光谱仪检测器4测量镜面反射辐射的光谱10(依据波长而变化的强度),如例如在曲线图中的左下方所示。根据该数据,可以由处理器PU重构产生检测到的光谱的结构或轮廓,例如通过严格耦合波分析和非线性回归,或者通过与如图6的右下方所示的经模拟光谱库进行比较。通常,对于重构,结构的一般形式是已知的,并且根据制造结构的工艺的知识来假定了一些变量,仅剩下结构的几个变量要从测量数据来确定。这种量测装置可以被配置为正常入射量测装置或倾斜入射量测装置。除了通过重构来测量参数之外,角度分辨散射法还可以用于测量产品和/或抗蚀剂图案中的特征的不对称性。不对称性测量的一种特殊应用是用于套刻的测量,其中目标包括叠加在另一组周期性特征上的一组周期性特征。以这种方式进行的非对称性测量的概念例如在美国专利申请公开US2006-066855(其全部内容结合于此)中有描述。
图7示出了适用于本公开的实施例的量测装置100的示例。这种类型的量测装置的操作原理在美国专利申请号US 2006-033921和US 2010-201963(在此通过引用整体并入本文)中更详细地解释。在整个装置中具有多个分支的光轴用虚线O表示。在该装置中,由源110(例如,氙气灯)发出的辐射通过包括透镜系统120、孔径板130、透镜系统140、部分反射表面150和物镜160的光学系统被引导到衬底W上。在一个实施例中,这些透镜系统120、140、160以4F布置的双重序列进行布置。在一个实施例中,使用透镜系统120准直由辐射源110发射的辐射。如果需要,可以使用不同的透镜布置。通过在呈现衬底平面的空间光谱的平面中定义空间强度分布,可以选择辐射入射到衬底上的角度范围。特别地,这可以通过在作为物镜光瞳平面的背向投影式图像的平面中在透镜120和140之间插入合适形式的孔径板130来进行该选择。通过使用不同的孔径,可以实现不同的强度分布(例如,环形、偶极子等)。可以调节径向和周边方向上的照射角度分布以及诸如辐射的波长、偏振和/或相干性等属性,以获取期望结果。例如,可以在光源110与部分反射表面150之间提供一个或多个干涉滤光器130(参见图9),以在例如400-900nm或甚至更低(诸如200-300nm)的范围内选择所关注波长。干涉滤光器可以是可调的,而不是包括不同的滤波器的集合。可以使用光栅代替干涉滤光器。在一个实施例中,可以在源110与部分反射表面150之间提供一个或多个偏振器170(参见图9)以选择所关注偏振。偏振器可以是可调的,而不是包括不同的偏振器的集合。
如图7所示,将目标T与垂直于物镜160的光轴O的衬底W放置在一起。因此,来自源110的辐射被部分反射表面150反射并且经由物镜160被聚焦到衬底W上的目标T上的照射点S中(参见图8)。在一个实施例中,物镜160具有高数值孔径(NA),期望地为至少0.9或至少0.95。浸入式量测装置(使用相对较高折射率的液体,诸如水)甚至可以具有大于1的数值孔径。
与轴线O成特定角度而聚焦到照射点的照射光170、172产生衍射光174、176。应当记住,这些射线只是覆盖衬底的包括目标的区域的很多平行射线之一。照射点内的每个元件在量测装置的视场内。由于板130中的孔径具有有限宽度(为接纳有用的辐射量所必需的),所以入射射线170、172实际上将占据一定角度范围,并且衍射射线174、176将稍微散开。根据小目标的点扩展函数,每个衍射级在特定角度范围内进一步扩展,而不是如图所示的单个理想射线。
由衬底W上的目标所衍射的至少0阶被物镜160收集并且通过部分反射表面150被引导回去。光学元件180将至少一部分衍射光束提供给光学系统182,该光学系统182使用零阶和/或一阶衍射光束在传感器190(例如,CCD或CMOS传感器)上形成目标T的衍射光谱(光瞳平面图像)。在一个实施例中,提供孔径186以滤出某些衍射级,从而将特定衍射级提供给传感器190。在一个实施例中,孔径186允许基本上或主要仅零阶辐射到达传感器190。在一个实施例中,传感器190可以是二维检测器,使得可以测量衬底目标T的二维角散射光谱。传感器190可以是例如CCD或CMOS传感器的阵列,并且可以使用例如每帧40毫秒的积分时间。传感器190可以用于在单个波长(或窄的波长范围)下测量重定向辐射的强度、在多个波长下分开地测量重定向辐射的强度,或者在特定波长范围内测量积分的重定向辐射的强度。此外,传感器可以用于分开地测量具有横向磁偏振和/或横向电偏振的辐射强度和/或横向磁偏振辐射与横向电偏振辐射之间的相位差。
可选地,光学元件180将至少一部分衍射束提供给测量分支200以在传感器230(例如,CCD或CMOS传感器)上在衬底W上形成目标的图像。测量分支200可以用于各种辅助功能,诸如使量测装置聚焦(即,使衬底W与物镜160焦点对准)和/或用于在引言中提到的暗场成像。
为了针对不同尺寸和形状的光栅提供定制视场,在透镜系统140内从源110到物镜160的路径上提供可调节视场光阑300。视场光阑300包含孔径302并且位于与目标T的平面共轭的平面中,使得照射点成为孔径302的图像。该图像可以根据放大系数进行缩放,或者孔径和照射点可以具有1:1尺寸关系。为了使照射适应于不同类型的测量,孔径板300可以包括围绕盘形成的多个孔径图案,该盘旋转以将期望图案放置到位。替代地或另外地,可以提供一组板300并且使其交换,以实现相同的效果。另外地或替代地,也可以使用可编程孔径器件,诸如可变形反射镜阵列或透射空间光调制器。
通常,目标将与该目标的平行于Y轴或X轴而延伸的周期性结构特征对准。关于该目标的衍射特性,具有沿与Y轴平行的方向延伸的特征的周期性结构具有在X方向上的周期性,而具有沿与X轴平行的方向延伸的特征的周期性结构具有在Y方向上的周期性。为了测量两个方向上的性能,通常提供两种类型的特征。尽管为简单起见将参考线和间隔,但是周期性结构不必由线和间隔形成。而且,每条线和/或线之间的间隔可以是由较小的子结构形成的结构。此外,周期性结构可以形成为在两个维度上同时具有周期性(例如,在周期性结构包括柱和/或通孔的情况下)。
图8示出了典型目标T的平面图、以及图7的装置中的照射点S的范围。为了获取不受周围结构干扰的衍射光谱,在一个实施例中,目标T是大于照射点S的宽度(例如,直径)的周期性结构(例如,光栅)。点S的宽度可以小于目标的宽度和长度。换言之,目标被照射“填充不足”,并且衍射信号基本上没有来自目标自身外部的产品特征及类似者的任何信号。这可以简化目标的数学重构,因为可以将该目标视为无限目标。在其他实施例中,如下所述,目标可能并非完全填充不足,和/或辐射点相对于目标的未对准可能导致目标外部的特征对信号产生影响。
图9示意性地描绘了基于使用量测学而获取的测量数据来确定目标图案30'的一个或多个所关注变量(也称为参数)的值的示例过程。由检测器190检测的辐射提供用于目标30'的所测量的辐射分布108。
对于给定目标30',可以使用例如数值麦克斯韦求解器210从参数化数学模型206计算/模拟辐射分布208。参数化数学模型206示出了组成目标和与目标相关联的各种材料的示例层。参数化数学模型206可以包括所考虑的目标的一部分的特征和层的一个或多个变量,这些变量可以被改变和导出。如图9所示,一个或多个变量可以包括一个或多个层的厚度t、一个或多个特征的宽度w(例如,CD)、一个或多个特征的高度h、一个或多个特征的侧壁角度α、和/或特征之间的相对位置(本文中被视为套刻)。尽管未示出,但是一个或多个变量还可以包括但不限于一个或多个层的折射率(例如,实数折射率或复数折射率、折射率张量等)、一个或多个层的消光系数、一个或多个层的吸收率、显影过程中的抗蚀剂损失、一个或多个特征的立足点、和/或一个或多个特征的线边缘粗糙度。根据图案化工艺和/或其他测量过程的知识,一维周期性结构或二维周期性结构的一个或多个参数的一个或多个值(诸如宽度、长度、形状或3-D轮廓特征的值)可以被输入到重构过程中。例如,变量的初始值可以是被测量的目标的一个或多个参数的这些期望值,诸如CD、节距等的值。
在某些情况下,可以将目标划分为单位单元的多个实例。为了在这种情况下帮助简化目标的辐射分布的计算,可以将模型206设计为使用目标的结构的单位单元进行计算/模拟,其中作为示例,单位单元跨整个目标而被重复。因此,模型206可以使用一个单位单元进行计算,并且使用适当的边界条件来复制结果以拟合整个目标,以便确定目标的辐射分布。
补充或替代在重构时计算辐射分布208,可以针对所考虑的目标部分的变量的多个变化来预先计算多个辐射分布208,以创建辐射分布库以在重构时使用。
然后,在212,将所测量的辐射分布108与所计算的辐射分布208(例如,在该时间附近计算的或从库中获取的)进行比较,以确定两者之间的差异。如果存在差异,则可以改变参数化数学模型206的一个或多个变量的值,获取新的所计算的辐射分布208(例如,从库中计算或获取的),并且将其与所测量的辐射分布108进行比较,直到所测量的辐射分布108与辐射分布208之间有足够的匹配。此时,参数化数学模型206的变量的值提供实际目标30'的几何形状的良好或最佳匹配。在一个实施例中,当所测量的辐射分布108与所计算的辐射分布208之间的差在公差阈值之内时,存在足够的匹配。
在这些量测装置中,可以提供衬底支撑件以在测量操作期间保持衬底W。衬底支撑件的形式可以与图1的衬底台WT相似或相同。在将量测装置与光刻装置集成在一起的示例中,该衬底支撑件甚至可以是同一衬底台。可以提供粗略定位器和精细定位器,以相对于测量光学系统准确地定位衬底。例如,提供各种传感器和致动器以获取所关注目标的位置,并且将所关注目标置于物镜下方的位置中。通常,将对跨整个衬底W的不同位置处的目标进行很多测量。衬底支撑件可以在X和Y方向上移动以获取不同的目标,并且可以在Z方向上移动以获取目标相对于光学系统的焦点的期望位置。例如,当光学系统在实践中可以保持基本静止(通常在X和Y方向上,但是也许也可以在Z方向上)并且只有衬底移动时,方便地将操作考虑和描述为如同物镜被带到相对于衬底的不同位置。如果衬底和光学系统的相对位置正确,则原则上无关紧要的是衬底和光学系统中的哪个在现实世界中移动,或者衬底和光学系统两者都在移动,或者以下组合:光学系统的一部分正在移动(例如,在Z和/或倾斜方向上)而光学系统的其余部分保持静止并且衬底正在移动(例如,在X和Y方向上,但是也可以在Z和/或倾斜方向上)。
在一个实施例中,目标的测量精度和/或灵敏度可以关于提供到目标上的辐射束的一个或多个属性(例如,辐射束的波长、辐射束的偏振、辐射束的强度分布(即,角度或空间强度分布)等)而变化。因此,可以选择特定测量策略,以理想地获取例如良好的目标测量精度和/或灵敏度。
为了监测包括至少一个图案转印步骤(例如,光学光刻步骤)的图案化工艺(例如,器件制造过程),检查图案化衬底,并且测量/确定图案化衬底的一个或多个参数。一个或多个参数可以包括例如在图案化衬底之中或之上形成的连续层之间的套刻、例如在图案化衬底之中或之上形成的特征的临界尺寸(CD)(例如,临界线宽)、光刻步骤的焦点或聚焦误差、光刻步骤的剂量或剂量误差、光刻步骤的光学像差、放置误差(例如,边缘放置误差)等。该测量可以在产品衬底本身的目标上和/或在提供在衬底上的专用量测目标上执行。该测量可以在抗蚀剂的显影之后但是在蚀刻之前执行,或者可以在蚀刻之后执行。
有多种技术用于测量在图案化工艺中形成的结构,包括使用扫描电子显微镜、基于图像的测量工具和/或各种专用工具。如上所述,一种快速且非侵入性形式的专业量测工具是如下工具:其中将辐射束定向到衬底表面上的目标上,并且测量散射(衍射/反射)光束的性质。通过评估由衬底散射的辐射的一种或多种性质,可以确定衬底的一种或多种性质。这可以称为基于衍射的量测。这种基于衍射的量测的一种这样的应用是在目标内的特征不对称性的测量中。例如,该特征不对称性的测量可以用作套刻的度量,但是其他应用也是已知的。例如,可以通过比较衍射光谱的相对部分(例如,比较周期性光栅的衍射光谱中的-1阶和+1阶)来测量不对称性。该测量可以如上所述来完成,并且如(例如)在美国专利申请公开US 2006-066855中所描述来完成该测量,该文献通过引用整体并入本文。基于衍射的量测的另一应用是在目标内的特征宽度(CD)的测量中。这样的技术可以使用上文中关于图6-9描述的装置和方法。
现在,尽管这些技术是有效的,但是期望提供一种能够导出目标内的特征非对称性(诸如套刻、CD非对称性、侧壁角度非对称性等)的替代的测量技术。对于专门设计的量测目标,或更确切地说,对于直接在器件图案上确定特征非对称性,这种技术可以是有效的。
参考图10,在套刻实施例的上下文中描述该测量技术的原理。在图10A中,示出了目标T的几何对称的单位单元。如图10C所示,目标T可以仅包括单位单元的单个物理实例,或者可以包括单位单元的多个物理实例。
目标T可以是专门设计的目标。在一个实施例中,目标是用于划道的。在一个实施例中,目标可以是管芯内目标,即,目标在器件图案中(并且因此在划道之间)。在一个实施例中,目标可以具有与器件图案特征相当的特征宽度或节距。例如,目标特征宽度或节距可以小于或等于器件图案的最小特征尺寸或节距的300%,小于或等于器件图案的最小特征尺寸或节距的200%,小于或等于器件图案的最小特征尺寸或节距的150%,或小于或等于器件图案的最小特征尺寸或节距的100%。
目标T可以是器件结构。例如,目标T可以是存储器器件的一部分(其通常具有一个或多个结构,这些结构是或者可以是几何对称的,如下文中进一步讨论的)。
在一个实施例中,目标T或单位单元的物理实例的面积可以小于或等于2400平方微米,小于或等于2000平方微米,小于或等于1500平方微米,小于或等于1000平方微米,小于或等于400平方微米,小于或等于200平方微米,小于或等于100平方微米,小于等于或等于50平方微米,小于或等于25平方微米,小于或等于10平方微米,小于或等于5平方微米,小于或等于1平方微米,小于或等于0.5平方微米,或者小于或等于0.1平方微米。在一个实施例中,目标T或单位单元的物理实例具有平行于衬底的平面的小于或等于50微米,小于或等于30微米,小于或等于20微米,小于或等于15微米,小于或等于10微米,小于或等于5微米,小于或等于3微米,小于或等于1微米,小于或等于0.5微米,小于或等于0.2微米,或者小于或等于0.1微米的横截面尺寸。
在一个实施例中,目标T或单位单元的物理实例具有小于或等于5微米,小于或等于2微米,小于或等于1微米,小于或等于500nm,小于或等于400nm,小于或等于300nm,小于或等于200nm,小于或等于150nm,小于或等于100nm,小于或等于75nm,小于或等于50nm,小于或等于32nm,小于或等于22nm,小于或等于16nm,小于或等于10nm,小于或等于7nm,或者小于或等于5nm的结构节距。
在一个实施例中,目标T具有单位单元的多个物理实例。因此,目标T通常可以具有此处列出的较高尺寸,而单位单元的物理实例将具有此处列出的较低尺寸。在一个实施例中,目标T包括单位单元的50,000个或更多个物理实例、单位单元的25,000个或更多个物理实例、单位单元的15,000个或更多个物理实例、单位单元的10,000个或更多个物理实例、单位单元的5,000个或更多个物理实例、单位单元的1000个或更多个物理实例、单位单元的500个或更多个物理实例、单位单元的200个或更多个物理实例、单位单元的100个或更多个物理实例、单位单元的50个或更多个物理实例、或者单位单元的10个或更多个物理实例。
期望地,单位单元的物理实例或单位单元的多个物理实例共同填充量测装置的光束点。在这种情况下,测量结果基本上仅包括来自单位单元的物理实例(或其多个实例)的信息。在一个实施例中,光束点的横截面宽度等于或小于50微米,等于或小于40微米,等于或小于30微米,等于或小于20微米,等于或小于15微米,等于或小于10微米,等于或小于5微米,或者等于或小于2微米。
图10A中的单位单元包括在衬底上被物理地实例化或将要被物理地实例化的至少两个结构。第一结构1000包括线,第二结构1005包括椭圆形。当然,第一结构1000和第二结构1005可以是与所描绘的不同的结构。
此外,在该示例中,由于第一结构1000和第二结构1005被分开地转移到衬底上从而具有套刻误差,因此在第一结构1000和第二结构1005之间可以存在自其预期位置的相对移位。在该示例中,第一结构1000与第二结构1005相比位于衬底上的更高层中。因此,在一个实施例中,可以在图案化工艺的第一执行中在第一下层中产生第二结构1005,并且可以在图案化工艺的第二执行中在比第一下层高的第二层中产生第一结构1000。现在,第一结构1000和第二结构1005不必位于不同的层中。例如,在双重图案化工艺(包括例如作为其一部分的蚀刻工艺)中,第一结构1000和第二结构1005可以在同一层中生产,以形成基本上单个图案,但是它们在同一层内的相对位置仍然可能存在“套刻”问题。在该单层示例中,第一结构1000和第二结构1005都可以具有例如针对第一结构1000的如图10A所示的线的形式,但是已经通过第一图案转印过程设置在衬底上的第二结构1005的线可以与在第二图案转印过程中提供的结构1000的线交错。
重要地,单位单元具有或能够具有相对于轴或点的几何对称性。例如,图10A中的单位单元相对于例如轴1010具有反射对称性,并且相对于例如点1015具有点/旋转对称性。类似地,可以看出,图10C中的单位单元的物理实例(以及因此单位单元的物理实例的组合)具有几何对称性。
在一个实施例中,单位单元对于某个特征(诸如套刻)具有几何对称性。当几何对称时,本文中的实施例专注于具有零套刻的单位单元。但是,相反,对于某个几何非对称性,单位单元可以具有零套刻。然后,当具有一定的几何非对称性时,将使用适当的偏移量和计算来解释具有零套刻的单位单元。适当地,单位单元应当能够根据特定特征值来改变对称性(例如,变得非对称,或者变得进一步非对称,或者从非对称情况变得对称)。
在图10A的示例中,单位单元具有零套刻的几何对称性(尽管不必为零套刻)。这由箭头1020和1025表示,箭头1020和1025示出了第一结构1000的线相对于第二结构1005的椭圆形形状均匀地对准(并且该均匀对准至少部分使得单位单元具有几何对称性,如图10A所示)。因此,在该示例中,当单位单元具有几何对称性时,套刻为零。然而,当存在套刻误差时(例如,非零套刻),单位单元不再是几何对称的,并且根据定义,目标不再是几何对称的。
此外,在目标包括单位单元的多个物理实例的情况下,单位单元的实例被周期性地布置。在一个实施例中,单位单元的实例以晶格形式布置。在一个实施例中,周期性布置具有在目标内的几何对称性。
因此,如在下文中进一步讨论的,在该技术中,利用了与所关注的特征非对称性(例如,非零套刻)有关的几何对称性的改变(例如,改变为几何非对称性,或改变为进一步的几何非对称性,或从几何非对称性改变为几何对称性),以能够确定特征非对称性(例如,非零套刻)。
使用例如图7的量测装置,可以用辐射来照射包括图10A的单位单元的物理实例的目标。可以通过例如检测器190测量由目标重定向的辐射。在一个实施例中,测量重定向辐射的光瞳,即,傅立叶变换平面。这种光瞳的示例测量被描绘为光瞳图像1030。尽管光瞳图像1030具有菱形形状,但是它不必具有这种形状。除非上下文另外要求,否则术语“光瞳和光瞳平面”在本文中包括其任何共轭(例如,在特定光学系统的光瞳平面正被标识的情况下)。光瞳图像1030实际上是根据重定向辐射的光瞳的光学特性(在这种情况下为强度)而指定的图像。
为了方便起见,本文中的讨论将专注于强度作为所关注光学特性。但是,本文中的技术可以与诸如相位和/或反射率等一个或多个替代或附加光学特性一起使用。
此外,为了方便起见,本文中的讨论专注于检测和处理重定向辐射的图像,尤其是光瞳图像。但是,可以以与图像不同的方式来测量和表示重定向辐射的光学特性。例如,可以根据一个或多个光谱(例如,根据波长而变化的强度)来处理重定向辐射。因此,可以将检测到的重定向辐射的图像视为重定向辐射的光学表示的示例。因此,在光瞳平面图像的情况下,光瞳图像是光瞳表示的示例。
此外,重定向辐射可以是偏振的或非偏振的。在一个实施例中,测量束辐射是偏振辐射。在一个实施例中,测量光束辐射被线性地偏振的。
在一个实施例中,光瞳表示主要或基本上是来自目标的重定向辐射的一个衍射级。例如,辐射可以是辐射的特定阶的80%或更多,85%或更多,90%或更多,95%或更多,98%或更多或99%或更多。在一个实施例中,光瞳表示主要是或基本上是零阶重定向辐射。例如,当目标的节距、测量辐射的波长以及可选的一个或多个其他条件导致目标主要对零阶(尽管可能存在一个或多个更高阶的辐射)进行重定向时,就会发生这种情况。在一个实施例中,大部分光瞳表示是零阶重定向辐射。在一个实施例中,光瞳表示是零阶辐射,并且分离地是一阶辐射,其然后可以被线性组合(叠加)。图7中的孔径186可以用来选择特定辐射阶数,例如零阶。
考虑到与第一结构1000和第二结构1005的几何对称的单位单元相对应的光瞳图像1030,可以看出,在光瞳图像内的强度分布基本上是对称的(例如,具有与几何结构相同的对称类型)。这通过从光瞳图像1030去除对称强度分布部分而得到进一步确认,这产生所导出的光瞳图像1035。为了去除对称强度分布部分,通过从该特定光瞳图像像素处的强度中减去对称定位的光瞳图像像素处的强度,特定光瞳图像像素(例如,像素)可以使对称强度分布部分被去除,反之亦然。在一个实施例中,像素可以对应于检测器(例如,检测器190)的像素,但是不是必须的;例如,光瞳图像像素可以是检测器的多个像素。在一个实施例中,像素强度被减去所跨的对称点或对称轴与单位单元的对称点或对称轴相对应。因此,例如,在考虑光瞳图像1030的情况下,通过例如从所示的特定像素处的强度Ii中减去来自对称定位像素(即,相对于轴线1032对称地定位)的强度Ii',可以去除对称强度分布部分。因此,去除了对称强度部分的特定像素处的强度Si为Si=Ii-Ii'。可以对光瞳图像的多个像素(例如,光瞳图像中的所有像素)重复该操作。如在所导出的光瞳图像1035中看到的,与对称单位单元相对应的强度分布基本上是完全对称的。因此,具有对称单位单元几何形状(并且如果适用,具有单位单元的实例的某一周期性)的对称目标产生如通过量测装置所测量的对称光瞳响应。
现在参考图10B,关于图10A中描绘的单位单元描绘套刻误差的示例。在这种情况下,第一结构1000相对于第二结构1005在X方向上移位。特别地,以第一结构1000的线为中心的轴线1010已经在图10B中向右移动到轴线1045。因此,在X方向上存在套刻1040的误差;也就是说,X方向套刻误差。当然,第二结构1005可以相对于第一结构1000移位,或者两者可以相对于彼此移位。无论如何,结果都是X方向套刻误差。然而,如从该单位单元布置中应当意识到的,第一结构1000和第二结构1005之间在Y方向上的纯粹相对位移不会改变该单位单元的几何对称性。但是,通过适当的几何布置,在两个方向上或在单位单元的部分的不同组合之间的套刻可以改变对称性并且也可以被确定,如下文中进一步讨论的。
由于单位单元的物理配置从图10A中的单位单元的标称物理配置发生改变,并且该改变由套刻误差1040表示,结果是单位单元已经变得几何非对称。这可以通过不同长度的箭头1050和1055看到,箭头1050和1055表明第二结构1005的椭圆形形状相对于第一结构1000的线不均匀地定位。相对于光瞳图像1030的对称点或对称轴(即,在这种情况下,是现在示出为轴1034的轴1032)的对称性被检查。
例如,使用图7的量测装置,可以用辐射来照射图10B的单位单元的物理实例。重定向辐射的光瞳图像可以例如由检测器190记录。这样的光瞳图像被描绘为光瞳图像1060。光瞳图像1060实际上是强度的图像。尽管光瞳图像1060具有菱形形状,但是它不必具有这种形状;它可以是圆形或任何其他形状。此外,光瞳图像1060具有与光瞳图像1030基本相同的轴或坐标位置。也就是说,在该实施例中,图10A的单位单元中的对称轴1010和图10B的单位单元中的相同轴与光瞳图像1030、1060的对称轴1032对准。
考虑到与第一结构1000和第二结构1005的几何非对称单位单元相对应的光瞳图像1060,在视觉上看起来强度分布在光瞳图像内基本上是对称的。然而,在光瞳图像内存在非对称的强度分布部分。该非对称的强度分布部分归因于单位单元中的非对称性。此外,非对称强度分布的量值明显小于光瞳图像中的对称强度分布部分的量值。
因此,在一个实施例中,为了更有效地隔离非对称强度分布部分,可以从光瞳图像1060中去除对称强度分布部分,从而得到所导出光瞳图像1065。与获取所导出的光瞳图像1035一样,通过从该特定光瞳图像像素处的强度中减去对称定位的光瞳图像像素处的强度,特定光瞳图像像素(例如,像素)可以使对称强度分布部分被去除,反之亦然,如上所述。因此,例如,在考虑光瞳图像1060的情况下,通过例如从所示的特定像素处的强度Ii中减去来自对称定位像素(即,相对于轴线1032对称地定位)的强度Ii'以产生Si,可以去除对称强度分布部分。可以对光瞳图像的多个像素(例如,光瞳图像中的所有像素)重复该操作。在图10A和10B中,出于解释目的,描绘了Si的完整的导出光瞳图像。可以理解,图10A或10B的所得到的光瞳图像的一半与其另一半相同。因此,在一个实施例中,仅来自光瞳图像的一半的值可以用于本文中讨论的进一步处理,因此在本文中进一步处理中使用的导出图像光瞳可以仅为光瞳的Si值的一半。
如在所导出的光瞳图像1065中看到的,使用非对称单位单元的物理实例而测量的强度分布是非对称的。如在区域1075和1080中看到的,一旦去除了对称强度分布部分,就可见非对称强度分布部分。如上所述,示出了完整的导出光瞳图像1065,并且因此在两个半部上都示出了非对称强度分布部分(即使它们在量值和在其相应半部中的分布方面彼此相等)。
因此,几何域中的非对称对应于光瞳中的非对称。因此,在一个实施例中,提供了一种方法,该方法使用周期性目标的光学响应,该周期性目标具有或能够具有在其单位单元的物理实例中的固有的几何对称性,以确定与物理配置变化相对应的参数,该物理配置变化导致单位单元的物理实例的几何对称性变化(例如,导致非对称,或导致进一步的非对称,或导致非对称单位单元变得对称)。具体地,在一个实施例中,利用由量测装置测量的光瞳中的由套刻引起的非对称性(或其缺乏),可以确定套刻。也就是说,使用光瞳非对称性来测量在单位单元的物理实例内并且因此在目标内的套刻。
目标T的几何域中的对称性变化可能是由于第一结构1000和第二结构1005之间的与它们的预期位置的相对移位引起的。由于用于形成第一结构1000的图案化工艺和用于形成第二结构1005的图案化工艺之间的套刻误差,可能发生相对移位。
在表征检测到的光瞳表示的分量的度量(例如,如上所述,测量光瞳图像中的非对称强度的度量)随所关注参数(例如,套刻)线性变化的方案中,度量与所关注参数之间存在明确的一对一关系。一对一关系表示可以执行从观察到的信号(其提供用于度量的值)到所关注参数(其影响该观察到的信号)的唯一逆映射。
然而,发明人已经认识到,随着所关注特征变得更小和/或期望使用更长的测量波长,所测量的度量与所关注参数之间的线性关系可能会丢失。在目标叠层的高阶谐波占主导地位的情况下也可能发生这种情况。在某些情况下,所测量的度量甚至可以在所关注参数的所关注范围内以非单调方式变化。非单调变化可能会导致以下情况:所关注参数的多个值都与度量的单个获取值相对应。例如,预期的所关注参数(诸如套刻和目标堆叠高度变化)会产生非单调的周期性信号。图11中描绘了这种情况的示例。
图11示意性地描绘了度量(在这种情况下,是信号强度Int)的变化,该度量的变化表征根据所关注参数OV(例如,套刻)而变化的检测到的光瞳表示的非对称分量。在该示例中,当所关注参数的值为零时(例如,当没有套刻误差时),度量的值为零(例如,表示在检测到的光瞳表示中没有非对称性)。随着所关注参数的值增加(例如,随着套刻误差增加),度量的值最初近似线性地增加。然而,随着所关注参数的值进一步增加,度量的值开始非单调地变化,在这种情况下,近似正弦地变化。从变化中可以看出,例如,如果度量的测量产生的值为0.2,则关于与该度量的测量值相对应的所关注参数的值是否为约10nm或约40nm,存在一定的不确定性(如虚线所示)。本公开的以下实施例克服了这种不确定性,并且允许获取所关注参数的准确和可靠的值。
图12描绘了用于确定通过图案化工艺在衬底上形成的目标的所关注参数的值的方法的示例框架。
将测量数据400提供给步骤S1。测量数据400表示对衬底上的目标应用测量过程的结果。在一个实施例中,测量过程包括用辐射照射目标并且检测由目标重定向(例如,散射)的辐射。测量过程可以由上述任何量测装置来执行。在一些实施例中,如以上参考图7至10C所述,通过将辐射引导到目标上并且检测重定向辐射的表示来执行测量过程(也可以称为量测过程)。如以上参考图7-10C所述,检测到的辐射的表示可以包括在光瞳平面内的辐射的光学特性的检测到的光瞳表示。光学特性可以包括辐射强度或相位。在光学特性包括辐射强度的情况下,检测到的光瞳表示可以被称为光瞳图像。参考图7描述的类型的量测装置可以例如用于执行量测过程。如上所述,检测到的辐射表示可以主要包括零阶辐射。在目标包括高分辨率目标(诸如器件结构)的情况下,这可能尤其是理想的。因此,在一个实施例中,目标包括器件结构。在其他实施例中,目标包括在包括器件结构的衬底管芯内的非器件结构。
步骤S1包括导出检测到的光瞳表示的对称分量和检测到的光瞳表示的非对称分量两者。在一些实施例中,如以上参考图10A和10B所述,导出对称分量和非对称分量中的一者或两者。因此,可以获取表示非对称分量的导出光瞳图像,诸如图10A或10B的导出光瞳图像1035或1065,或者可以获取表示对称分量的对应的导出光瞳图像,或者可以使用两者。在一个实施例中,非对称分量和对称分量共同包含存在于检测到的光瞳表示中的所有信息。在一个实施例中,非对称分量通过对检测到的光瞳表示进行反对称化来获取。在一个实施例中,检测到的光瞳表示的反对称化包括去除检测到的光瞳表示的对称分量。在一个实施例中,如以上参考图10A和10B所述,去除检测到的光瞳表示的对称分量。考虑光瞳图像1030,可以通过例如从所示的特定像素处的强度Ii中减去来自对称定位的像素(即,相对于轴线1032对称地定位)的强度Ii'来去除对称强度分布部分。因此,在对称强度部分被移除的情况下,在特定像素处的强度Si为Si=Ii-Ii'。可以针对检测到的光瞳表示的多个像素(例如,检测到的光瞳表示中的所有像素)重复该操作,以获取检测到的光瞳表示的非对称分量。可以执行对应过程以获取检测到的光瞳表示的对称分量。
在一个实施例中,对称分量相对于光瞳平面中的参考点是点对称的(例如,关于相对于点的反射是对称的)。点对称性可以对应于目标单位单元中的点对称性,如以上参考图10A和10B所述(参见图10A中的点1015)。在一个实施例中,对称分量相对于光瞳平面中的参考线是镜面对称的。如以上参考图10A和10B所述(参见轴线1010),该对称性可以对应于相对于目标单位单元中的对应线的镜面对称性。
在一个实施例中,非对称分量相对于光瞳平面中的参考点是点非对称的。如以上参考图10A和10B所述,点非对称性可以对应于与目标单位单元中的点对称性的偏差。在一个实施例中,非对称分量相对于光瞳平面中的参考线是镜面非对称的。如以上参考图10A和图10B所述,该非对称性可以与相对于目标单位单元中的参考线的镜面对称性的偏差相对应。
在一个实施例中,第一度量用于表征对称分量。第一度量可以例如提供检测到的光瞳表示中的对称分量的相对强度的量度。可以例如从检测到的光瞳表示的对称版本中的像素强度上的总和(可选地,加权总和)导出该第一度量。
在一个实施例中,第二度量用于表征非对称分量。第二度量可以例如提供检测到的光瞳表示中的非对称分量的相对强度的量度。可以例如从检测到的光瞳表示的反对称形式中的像素强度上的总和(可选地,加权总和)导出该第二度量。
目标类型和测量过程使得第一度量和第二度量两者均遍及所关注参数的值的参考范围,根据所关注参数非单调地变化(例如,如图11所示或其相移版本)。在一些实施例中,值的参考范围表示:由于该图案化工艺或用于形成目标的图案化工艺的变化、在衬底上的不同位置处和/或在不同时间形成的目标的实例之间的在所关注参数的典型变化下、所预期遇到的范围。
根据本实施例,在步骤S2A,导出第一度量,并且在步骤S2B,导出第二度量。所导出的第一度量和所导出的第二度量被提供给步骤S3。
在步骤S3,使用所导出的对称分量(例如,第一度量)和所导出的非对称分量(例如,第二度量)的组合,以从所关注参数的多个候选值中标识正确值。正确值作为输出数据410被输出。因此,在图11的特定示例中,图12的方法的步骤S3可以用于将所关注参数的两个候选值(10nm或40nm)中、与为0.2的所获取的度量值相对应的候选值确定为正确值。所导出的对称分量和所导出的非对称分量的组合可以用于从所关注参数的多个候选值中标识正确值,该多个候选值由于第一度量的非单调变化而全部与第一度量的值相对应,该第一度量的值与目标的所导出的对称分量相对应。替代地或另外地,所导出的对称分量和所导出的非对称分量的组合可以用于从所关注参数的多个候选值中标识正确值,该多个候选值由于第二度量的非单调变化而全部与第二度量的值相对应,该第二度量的值与目标的所导出的非对称分量相对应(如图11所示)。本质上,发明人已经认识到,通过使用从对称分量和非对称分量中的另一者获取的信息,可以有效地解析从对称分量和非对称分量之一获取的所关注参数的值的模糊度。所关注参数可以包括以下中的任一项:目标中的非对称性的量度、套刻、侧壁角度非对称性、临界尺寸、叠层高度变化。下面描述关于如何实现这一操作的特定示例。
在一个实施例中,第一度量和/或第二度量的非单调变化至少大致为周期性变化。这样的变化的一个周期的示例在图13中示出。实线表示第一度量(表示为强度水平Int)随所关注参数(例如,套刻OV)的变化。虚线表示第二度量随相同的所关注参数的变化。
在一个实施例中,所关注参数的值的参考范围与周期性变化的一个周期(如图13所示)相对应。
在一个实施例中,第一度量的周期性变化具有与第二度量的周期性变化相同的周期(如图13所示)。
在一个实施例中,第一度量的周期性变化相对于第二度量的周期性变化被相移。在一个实施例中,该相移为90度(如图13所示)。例如,这将为所关注参数表示目标中的非对称性(诸如套刻误差)的情况。
在一个实施例中,周期性变化至少大致为正弦曲线(如图13所示)。
在一个实施例中,周期性变化的一个周期可以被划分为四个大小相等的象限(即,每个周期的四分之一)。在图13中描绘了这种象限421-424的示例。可以将象限定位为使得每个象限在周期性变化的曲折点与转折点(即,局部最大值或局部最小值)之间延伸。
在一个实施例中,在图12的步骤S1中,针对从目标的对应集合获取的检测到的光瞳表示的集合中的每个,导出对称分量和非对称分量。在一个实施例中,该目标集合被定位成彼此非常接近,以便具有所关注参数的相似值。因此,例如,集合中彼此最远的目标可以分别与不在集合中的至少一个其他目标分开较大的量。
在一个实施例中,步骤S2A包括:针对目标集合中的每个目标,导出对称分量的第一度量,并且使用所得到的所导出的第一度量和所关注参数的对应值,以估计第一度量相对于参考范围的子区域中的所关注参数的值的变化率的至少符号。图13中描绘了针对两个目标的该过程的示例。在位置431处,针对第一目标绘制第一度量和所关注参数的值。在位置432处,针对第二目标绘制第一度量和所关注参数的值。在该示例中,可以通过在两个绘制点之间进行插值来获取参考范围的子区域中第一度量的变化率的估计值。所得到的线的斜率近似于第一度量相对于所关注参数的曲线的斜率。在该示例中,斜率的符号为正。
在一个实施例中,步骤S2B包括:针对目标集合中的每个目标,导出非对称分量的第二度量,并且使用所得到的所导出的第二度量和所关注参数的对应值,以估计第二度量相对于参考范围的子区域中所关注参数的值的变化率的至少符号。图13中描述了针对两个目标的该过程的示例。在位置441处,针对第一目标绘制第二度量和所关注参数的值。在位置442处,针对第二目标绘制第二度量和所关注参数的值。在该示例中,可以通过在两个绘制点之间进行插值来获取参考范围的子区域中第二度量的变化率的估计值。所得到的线的斜率近似于第二度量相对于所关注参数的曲线的斜率。在该示例中,斜率的符号为负。
在一个实施例中,使用在步骤S2A中确定的第一度量的变化率的符号和在步骤S2B中确定的第二度量的变化率的符号,而标识子区域在参考范围中的哪个位置(例如,象限421-424中的哪个)。因此,可以解析有关所关注参数的正确值的模糊度。
在以上参考图13描述的类型的示例中,其中非单调变化至少大致为周期性变化,并且所关注参数的值的参考范围与具有四个象限的周期性变化的一个周期相对应,子区域的位置可以如下标识。当第一度量的变化率为正并且第二度量的变化率为负时,确定子区域位于四个象限中的第一象限421中。当第一度量的变化率为正并且第二度量的变化率为正时,确定子区域位于四个象限中的第二象限422中。当第一度量的变化率为负并且第二度量的变化率为正时,确定子区域位于四个象限中的第三象限423中。当第一度量的变化率为负并且第二度量的变化率为负时,确定子区域位于四个象限中的第四象限424中。
在一个实施例中,目标集合中的目标被选择使得所关注参数的值在针对该集合中的所有目标的参考范围的同一象限中。这可以通过如下的方式来实现:选择在衬底上被靠近地放置的目标,使得所关注参数的值对于该集合中的每个目标都是相似的。以这种方式,目标集合将一起仅对周期性变化的总周期的相对较小的比例进行采样。这增加了集合中的所有目标都在同一象限中的机会。如果发现给定集合中的某些目标属于不同象限,则可以更改目标的采样,直到每个集合中的所有目标都属于同一象限。图14示意性地描绘了如何实现这一点。上部矩形系列中的每个矩形表示N个目标n1、n2、…nN的不同采样集。可以根据需要更改集合的采样,例如,通过使用滑动窗口来改变集合的填充。这在下部矩形系列中被示意性地描绘,该下部矩形系列与上部矩形系列不同地将目标划分为若干集合。在一个实施例中,还可以选择该目标集合,以便位于足够远离周期性变化的变化中的转折点的区域中,以实现高灵敏度。
使用多个目标来建立参考范围的子区域的位置的上述方法可以被称为数据驱动方法,其中所关注参数的值必须位于该子区域中。在可以称为模型驱动方法的替代实施例中,数学模型用于解决相同的问题,并且允许确定子区域的位置,而不必要求使用来自多个不同目标的测量。
在图15-17中分别示意性地示出了模型驱动方法的三个示例。
在图15的示例中,将测量数据400提供给步骤S10。测量数据400可以采用以上参考图12描述的任何形式。在步骤S10中,执行第一拟合过程。第一拟合过程包括:通过改变在数学模拟中使用的目标的数学模型中的一个或多个参数,将检测到的光瞳表示的所导出的非对称分量拟合到数学上被模拟的检测到的光瞳表示的非对称分量,直到拟合误差被最小化。拟合过程和数学模型可以采用上面参考图9所述的任何形式。例如,可以使用数值麦克斯韦求解器210,以从参数化数学模型206计算/模拟辐射分布208。一个或多个参数包括所关注参数。通过循环S11和S12将第一拟合过程重复多次。每次执行第一拟合过程时,以参考范围的多个子区域中的一个不同子区域中(例如,参考范围的不同象限中)的所关注参数的值,开始该第一拟合过程。步骤S11查询是否已经在所有必需的子区域中开始了该第一拟合过程。如果否,则在步骤S12中改变子区域,并且在步骤S10中重复第一拟合过程。如果是,则该过程进行到步骤S13。
在步骤S13中,执行第二拟合过程。第二拟合过程包括:通过改变在数学模拟中使用的目标的数学模型中的一个或多个参数,将检测到的光瞳表示的所导出的对称分量拟合到数学上被模拟的检测到的光瞳表示的对称分量,直到拟合误差被最小化。拟合过程和数学模型可以采用上面参考图9所述的任何形式。例如,可以使用数值麦克斯韦求解器210从参数化数学模型206计算/模拟辐射分布208。一个或多个参数包括所关注参数。以类似于第一拟合过程的方式(通过S11和S12),通过循环S14和S15将第二拟合过程重复多次。每次执行第二拟合过程时,以参考范围的多个子区域中的一个不同子区域中(例如,参考范围的不同象限中)的所关注参数的值,开始该第二拟合过程。当第二拟合过程的所有必需的执行已经完成时,该过程进行到步骤S16。
在步骤S16中,使用通过不同相应子区域中的第一拟合过程和不同相应子区域中的第二拟合过程中的一者或两者而获取的最小化拟合误差,以标识所关注参数的正确值所在的子区域。在一个实施例中,这通过标识最小化拟合误差低于针对第一拟合过程和第二拟合过程两者的预定阈值的子区域来实现。所关注参数的正确值作为输出数据410被输出。
图16描绘了示例过程,通过使用来自第一拟合过程的多次应用的结果来选择子区域的子集,以在第二拟合过程的后续多个应用中进一步考虑,该示例过程降低了处理要求。
在图16的示例中,将测量数据400提供给步骤S20。测量数据400可以采用以上参考图12描述的任何形式。步骤S20、S21和S22与图15的步骤S10、S11和S12相同。循环S20、S21和S22中的第一拟合过程的每次执行在拟合误差被最小化时产生所关注参数的拟合值。
在步骤23中,选择子区域的子集。子集中的每个子区域是如下的子区域:其中通过第一拟合过程而获取的最小化拟合误差小于不在该子集中的所有子区域中通过第一拟合过程而获取的最小化拟合误差。因此,在该阶段拒绝某些子区域,这是基于最小化拟合误差与其他子区域实现的最小化拟合误差相比过大。
在步骤S24-S26中,以与图15的步骤S13-S15类似的方式多次执行第二拟合过程,不同之处在于,在该实施例中,以通过在子区域的所选择的子集中的每个子区域中执行第一拟合过程而获取的所关注参数的拟合值中的一个不同拟合值,开始第二拟合过程的每次执行。对于第二拟合过程的每次执行,获取所关注参数的拟合值。
在步骤S27中,将从步骤S24-26输出的具有最小的最小化拟合误差的所关注参数的拟合值作为输出数据410输出,该输出数据410表示所关注参数的正确值。
在图16的实施例的一个特定实现中,其中非单调变化至少大致为周期性变化,并且所关注参数的值的参考范围与具有四个象限的周期性变化的一个周期相对应,该方法如下进行。在步骤S20-22中执行第一拟合过程所在的子区域包括:由象限中的第一象限421组成的第一子区域;由象限中的第二象限422和第三象限423组成的第二子区域;以及由象限中的第四象限424组成的第三子区域。在步骤S23中选择的子区域的子集由第一子区域421、第二子区域422或423、和第三子区域424中的两者组成。
图17描绘了图16的实施例的变体,其中在第一拟合过程之前执行第二拟合过程。
在图17的示例中,将测量数据400提供给步骤S30。测量数据400可以采用以上参考图12描述的任何形式。步骤S30,S31和S32与图15的步骤S10、S11和S12相同,不同之处在于,使用第二拟合过程代替第一拟合过程。循环S30、S31和S32中的第二拟合过程的每次执行在拟合误差被最小化时产生所关注参数的拟合值。
在步骤33中,选择子区域的子集。子集中的每个子区域是如下的子区域:其中通过第二拟合过程而获取的最小化拟合误差小于不在该子集中的所有子区域中通过第二拟合过程而获取的最小化拟合误差。因此,在该阶段拒绝某些子区域,这是基于最小化拟合误差与其他子区域实现的最小化拟合误差相比过大。
在步骤S34-S36中,以与图15的步骤S13-S15类似的方式多次执行第一拟合过程,不同之处在于,在该实施例中,执行第一拟合过程,并且以通过在子区域的所选择的子集中的每个子区域中执行第二拟合过程而获取的所关注参数的拟合值中的一个不同拟合值,开始第一拟合过程的每次执行。对于第一拟合过程的每次执行,获取所关注参数的拟合值。
在步骤S37中,将从步骤S34-36输出的具有最小的最小化拟合误差的所关注参数的拟合值作为输出数据410输出,该输出数据410表示所关注参数的正确值的。
参考图18,示出了计算机系统3200。计算机系统3200包括用于传达信息的总线3202或其他通信机制、以及与总线3202耦合以用于处理信息的处理器3204(或多个处理器3204和3205)。计算机系统3200还包括主存储器3206,诸如随机存取存储器(RAM)或其他动态存储设备,主存储器3206耦合到总线3202以用于存储要由处理器3204执行的信息和指令。主存储器3206还可以用于在要由处理器3204执行的指令的执行期间存储临时变量或其他中间信息。计算机系统3200还包括耦合到总线3202以用于存储处理器3204的静态信息和指令的只读存储器(ROM)3208或其他静态存储设备。提供诸如磁盘或光盘等存储设备3210并且将其耦合到总线3202以用于存储信息和指令。
计算机系统3200可以经由总线3202耦合到显示器3212,诸如用于向计算机用户显示信息的阴极射线管(CRT)或平板显示器或触摸面板显示器。包括字母数字键和其他键的输入设备3214耦合到总线3202以用于将信息和命令选择传递给处理器3204。另一种类型的用户输入设备是光标控件3216,诸如鼠标、轨迹球或光标方向键,光标控件3216用于将方向信息和命令选择传递给处理器3204并且用于控制显示器3212上的光标移动。该输入设备通常在两个轴(第一轴(例如,x)和第二轴(例如,y))上具有两个自由度,以允许设备指定平面中的位置。触摸面板(屏幕)显示器也可以用作输入设备。
计算机系统3200可以适合于响应于处理器3204执行主存储器3206中包含的一个或多个指令的一个或多个序列而在本文中用作处理单元。这样的指令可以从另一计算机可读介质(诸如存储设备3210)读取到主存储器3206中。包含在主存储器3206中的指令序列的执行会引起处理器3204执行本文中描述的过程。也可以采用多处理布置中的一个或多个处理器来执行包含在主存储器3206中的指令序列。在替代实施例中,可以使用硬连线电路系统代替软件指令或与软件指令相结合。因此,实施例不限于硬件电路系统和软件的任何特定组合。
如本文中使用的,术语“计算机可读介质”是指参与向处理器3204提供指令以供执行的任何介质。这样的介质可以采取很多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如存储设备3210。易失性介质包括动态存储器,诸如主存储器3206。传输介质包括同轴电缆、铜线和光纤,包括包含总线3202的电线。传输介质也可以采用声波或光波的形式,诸如在射频(RF)和红外(IR)数据通信期间生成的声波或光波。计算机可读介质的常见形式包括例如软盘、柔性盘、硬盘、磁带、任何其他磁介质、CD-ROM、DVD、任何其他光学介质、打孔卡、纸带、具有孔径图案的任何其他物理介质、RAM、PROM和EPROM、FLASH-EPROM、任何其他存储芯片或盒、下文中所述的载波、或计算机可以从中读取的任何其他介质。
各种形式的计算机可读介质可以涉及将一个或多个指令的一个或多个序列传送给处理器3204以供执行。例如,指令最初可以承载在远程计算机的磁盘上。远程计算机可以将指令加载到其动态内存中,并且使用调制解调器通过电话线发送指令。计算机系统3200本地的调制解调器可以在电话线上接收数据,并且使用红外发射器将数据转换为红外信号。耦合到总线3202的红外检测器可以接收红外信号中携带的数据并且将数据放置在总线3202上。总线3202将数据携带到主存储器3206,处理器3204从主存储器3206中检索并且执行指令。由主存储器3206接收的指令可以可选地在由处理器3204执行之前或之后存储在存储设备3210上。
计算机系统3200也可以包括耦合到总线3202的通信接口3218。通信接口3218提供对连接到局域网3222的网络链路3220的双向数据通信。例如,通信接口3218可以是用于提供与对应类型的电话线的数据通信连接的集成服务数字网络(ISDN)卡或调制解调器。作为另一示例,通信接口3218可以是用于提供与兼容LAN的数据通信连接的局域网(LAN)卡。也可以实现无线链路。在任何这样的实现中,通信接口3218发送和接收携带表示各种类型的信息的数字数据流的电、电磁或光信号。
网络链路3220通常提供通过一个或多个网络到其他数据设备的数据通信。例如,网络链路3220可以提供通过本地网络3222到主机计算机3224或到由互联网服务提供商(ISP)3226操作的数据设备的连接。ISP 3226进而通过全球分组数据通信网络(现在通常将其称为“互联网”3228)提供数据通信服务。局域网3222和互联网3228都使用携带数字数据流的电、电磁或光信号。通过各种网络的信号以及在网络链路3220上并且通过通信接口3218的信号(其将数字数据携带至计算机系统3200和携带来自计算机系统3200的数字数据)是传输信息的载波的示例性形式。
计算机系统3200可以通过网络、网络链路3220和通信接口3218发送消息并且接收数据,包括程序代码。在互联网示例中,服务器3230可能会通过互联网3228、ISP 3226、本地网络3222和通信接口3218为应用程序传输所请求的代码。根据一个或多个实施例,一个这样的下载的应用提供例如本文中公开的方法。所接收的代码可以在接收到时由处理器3204执行,和/或存储在存储设备3210或其他非易失性存储装置中以供以后执行。以这种方式,计算机系统3200可以获取载波形式的应用代码。
本公开的实施例可以采取以下形式:包含描述本文中公开的方法的一个或多个机器可读指令序列的计算机程序、或者其中存储有这样的计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。此外,机器可读指令可以在两个或更多个计算机程序中被实施。两个或更多个计算机程序可以存储在一个或多个不同的存储器和/或数据存储介质上。
当由位于光刻装置的至少一个组件内的一个或多个计算机处理器读取一个或多个计算机程序时,本文中描述的任何控制器均可以各自或组合地操作。控制器可以各自或组合地具有用于接收、处理和发送信号的任何合适的配置。一个或多个处理器被配置为与至少一个控制器通信。例如,每个控制器可以包括用于执行包括用于上述方法的机器可读指令的计算机程序的一个或多个处理器。控制器可以包括用于存储这种计算机程序的数据存储介质、和/或用于接收这种介质的硬件。因此,控制器可以根据一个或多个计算机程序的机器可读指令进行操作。
尽管在本文中可以具体参考在IC的制造中使用量测装置,但是应当理解,本文中描述的量测装置和过程可以具有其他应用,诸如制造集成光学系统、用于磁畴存储器、平板显示器、液晶显示器(LCD)、薄膜磁头等的引导和检测图案。本领域技术人员将理解,在这种替代应用的上下文中,术语“晶片”或“管芯”在本文中的任何使用分别被认为是更通用的术语“衬底”或“目标部分”的同义词。本文所指的衬底可以在曝光之前或之后进行加工,例如在涂布显影系统(通常在衬底上施加一层抗蚀剂并且显影曝光的抗蚀剂的工具)、量测工具、和/或一个或多个其他工具中。在适用的情况下,本文中的公开内容可以应用于这样的和其他衬底处理工具。此外,例如可以为了制造多层IC而对衬底进行不止一次的处理,因此本文中使用的术语“衬底”也可以是指已经包含多个处理过的层的衬底。
尽管上面可能已经在光学光刻的上下文中具体参考了本公开的实施例的使用,但是应当理解,本公开可以在其他应用中使用,例如纳米压印光刻,并且在上下文允许的情况下,不仅限于光刻。在纳米压印光刻的情况下,图案形成装置是压印模板或模具。
本文中使用的术语“辐射”和“光束”涵盖所有类型的电磁辐射,包括紫外线(UV)辐射(例如,波长为或约为365、355、248、193、157或126nm)和极紫外线(EUV)辐射(例如,波长在5-20nm的范围内)以及粒子束(诸如离子束或电子束)。
在上下文允许的情况下,术语“透镜”可以指代各种类型的光学组件中的任何一种或组合,包括折射、反射、磁性、电磁和静电光学组件。
本文中提到的越过或超过阈值可以包括某物具有小于特定值或小于或等于特定值的值,某物具有大于特定值或大于或等于特定值的值,某物根据例如特定参数而排名高于或低于其他事物(例如,通过排序)等等。
本文中对误差的校正或纠正的参考包括消除误差或将误差减小到公差范围内。
如本文中使用的,术语“优化(optimizing)”和“优化(optimization)”是指或意指调节量测过程、光刻装置、图案化工艺、参数等的过程,使得量测、光刻或图案化处理的结果和/或过程具有更理想的特性,诸如提高的精度,例如在衬底上的设计布局的投影的精度更高,处理窗口更大等等。因此,本文中使用的术语“优化(optimizing)”和“优化(optimization)”是指或意指标识一个或多个变量的一个或多个值的过程,例如与一个或多个变量的一个或多个值的初始集合相比,该一个或多个值提供在至少一个相关度量方面的改进,例如局部最优。“最佳(optimum)”、“最佳(optimal)”和其他相关术语相应地应当解释。在一个实施例中,可以迭代地应用优化步骤,以提供一个或多个度量的进一步改进。
在系统的优化过程中,系统或过程的优值(figure of merit)可以表示为成本函数。优化过程归结为寻找对成本函数进行优化(例如,最小化或最大化)的系统或过程的一组参数(设计变量)的过程。成本函数可以具有任何合适的形式,这取决于优化的目标。例如,成本函数可以是系统或过程的某些特性(评估点)相对于这些特性的预期值(例如,理想值)的偏差的加权均方根(RMS);成本函数也可以是这些偏差中的最大值(即,最差偏差)。本文中的术语“评估点”应当广义地解释为包括系统或过程的任何特性。由于系统或过程的实现的实用性,可以将系统的设计变量限制在有限范围内和/或相互依赖。在光刻装置或图案化工艺的情况下,约束通常与硬件的物理属性和特性(诸如可调范围和/或图案化设备可制造性设计规则)相关联,并且评估点可以包括抗蚀剂图像上的物理点、以及非物理特性(诸如剂量和焦点)。
尽管上面已经描述了本公开的特定实施例,但是应当理解,本公开可以以不同于所描述的方式实践。例如,本公开可以采取以下形式:包含描述如上所述的方法的一个或多个机器可读指令序列的计算机程序、或者其中存储有这种计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。
在框图中,图示的组件被描绘为离散的功能块,但是实施例不限于其中本文中描述的功能如图所示被组织的系统。由每个组件提供的功能可以由与当前描绘的不同地组织的软件或硬件模块来提供,例如,这样的软件或硬件可以被混合、结合、复制、分解、分布(例如,在数据中心内或在地理上),或者被不同地组织。本文中描述的功能可以由一个或多个计算机的一个或多个处理器执行存储在有形的非暂态的机器可读介质上的代码来提供。在某些情况下,第三方内容传送网络可以托管通过网络传输的部分或全部信息,在这种情况下,在信息(例如,内容)被称为被供应或以其他方式提供的程度上,该信息可以通过发送用于从内容传送网络检索该信息的指令来提供。
除非另有明确说明,否则从讨论中可以明显看出,应当理解,在整个说明书中,利用诸如“处理”、“计算(computing)”、“演算(calculating)”、“确定”等术语的讨论是指诸如专用计算机或类似的专用电子处理/计算设备等特定装置的动作或过程。
读者应当理解,本申请描述了若干发明。申请人不是将这些发明分成多个独立的专利申请,而是将这些发明归为一个文件,因为它们的相关主题可以使申请过程更加经济。但是,这样的发明的独特的优点和方面不应当混为一谈。在一些情况下,实施例解决了本文中指出的所有缺陷,但是应当理解,本发明是独立有用的,并且一些实施例仅解决了这样的问题的子集或者提供其他未提及的益处,这些益处对于审查本公开的本领域技术人员而言是很清楚的。由于成本限制,本文中公开的一些发明目前可能没有要求保护,而是可能在诸如连续申请等以后的申请中要求保护,或者通过修改本权利要求书来要求保护。同样,由于篇幅限制,本文件的“摘要”和“发明内容”部分均不应当被视为包含所有这样的发明或这样的发明的所有方面的全面列表。
应当理解,说明书和附图并非旨在将本发明限制为所公开的特定形式,相反,其意图是涵盖落入由所附权利要求书限定的本发明的精神和范围内的所有修改、等同形式和替代形式。
在以下编号的条款中进一步描述了根据本发明的其他实施例:
1.一种确定通过图案化工艺在衬底上形成的目标的所关注参数的值的方法,包括:
导出检测到的光瞳表示的对称分量和非对称分量,所述检测到的光瞳表示通过执行测量过程可获取,所述测量过程包括用辐射照射所述目标并且检测由所述目标重定向的辐射,其中:
所述目标类型和所述测量过程使得表征所述对称分量的第一度量和表征所述非对称分量的第二度量在所述关注参数的值的参考范围内根据所述关注参数非单调地变化;以及
所述方法包括使用所导出的对称分量和所导出的非对称分量的组合来标识:
所述关注参数的、由于所述第一度量的所述非单调变化而全部与所述第一度量的值相对应的多个候选值中的正确值,所述第一度量的所述值与用于所述目标的所导出的对称分量相对应;或者
所述关注参数的、由于所述第二度量的所述非单调变化而全部与所述第二度量的值相对应的多个候选值中的正确值,所述第二度量的所述值与用于所述目标的所导出的非对称分量相对应。
2.根据条款1所述的方法,其中所述非单调变化至少大致为周期性变化。
3.根据条款2所述的方法,其中所述关注参数的值的参考范围与所述周期性变化的一个周期相对应。
4.根据条款2或3所述的方法,其中所述第一度量的所述周期性变化具有与所述第二度量的所述周期性变化相同的周期。
5.根据条款4所述的方法,其中所述第一度量的所述周期性变化相对于所述第二度量的所述周期性变化被相移。
6.根据条款5所述的方法,其中所述相移为90度。
7.根据条款2至6中任一项所述的方法,其中所述周期性变化至少大致为正弦曲线。
8.根据前述条款中任一项所述的方法,其中:
针对从对应目标集合获取的检测到的光瞳表示的集合中的每个检测到的光瞳表示,导出所述对称分量和所述非对称分量;以及
所述关注参数的所述正确值的所述标识包括:
a)针对所述目标集合中的每个目标,导出所述对称分量的所述第一度量,并且使用所得到的所导出的第一度量和所述关注参数的对应值来估计所述第一度量相对于所述参考范围的子区域中的所述关注参数的所述值的变化率的至少符号;
b)针对所述目标集合中的每个目标,导出所述非对称分量的所述第二度量,并且使用所得到的所导出的第二度量和所述关注参数的对应值来估计所述第二度量相对于所述参考范围的所述子区域中所述关注参数的所述值的变化率的至少符号;
使用在步骤(a)中确定的所述第一度量的所述变化率的所述至少符号和在步骤(b)中确定的所述第二度量的所述变化率的所述至少符号,标识所述子区域在所述参考范围中的位置。
9.根据条款8所述的方法,包括:使用所述子区域的所标识的位置,从所述关注参数的所述多个候选值中标识所述正确值。
10.根据条款8或9所述的方法,其中:
所述非单调变化至少大致为周期性变化;
所述关注参数的值的参考范围与具有四个象限的所述周期性变化的一个周期相对应;以及
所述子区域的所述位置如下被标识:
当所述第一度量的所述变化率为正并且所述第二度量的所述变化率为负时,确定所述子区域位于所述四个象限中的第一象限中;
当所述第一度量的所述变化率为正并且所述第二度量的所述变化率为正时,确定所述子区域位于所述四个象限中的第二象限中;
当所述第一度量的所述变化率为负并且所述第二度量的所述变化率为正时,确定所述子区域位于所述四个象限中的第三象限中;以及
当所述第一度量的所述变化率为负并且所述第二度量的所述变化率为负时,确定所述子区域位于所述四个象限中的第四象限中。
11.根据条款10所述的方法,其中所述目标集合中的所述目标被选择,使得对于所述集合中的所有目标,所述关注参数的所述值在所述参考范围的同一象限中。
12.根据条款1至7中任一项所述的方法,还包括:
多次执行第一拟合过程,所述第一拟合过程的每次执行包括:通过改变在数学模拟中使用的所述目标的数学模型中的一个或多个参数,将所述检测到的光瞳表示的所导出的非对称分量拟合到数学上被模拟的检测到的光瞳表示的非对称分量,直到拟合误差被最小化,所述一个或多个参数包括所述关注参数,其中所述第一拟合过程的每次执行以所述参考范围的多个子区域中的一个不同子区域中的所述关注参数的值开始;以及
多次执行第二拟合过程,所述第二拟合过程的每次执行包括:通过改变在所述数学模拟中使用的所述目标的数学模型中的一个或多个参数,将所述检测到的光瞳表示的所导出的对称分量拟合到数学上被模拟的检测到的光瞳表示的对称分量,直到拟合误差被最小化,所述一个或多个参数包括所述关注参数,其中所述第二拟合过程的每次执行以所述参考范围的所述多个子区域中的一个不同子区域中的所述关注参数的值开始,其中:
使用所导出的对称分量和所导出的非对称分量的所述组合来标识所述关注参数的所述正确值包括:使用通过不同相应子区域中的所述第一拟合过程和不同相应子区域中的所述第二拟合过程中的一者或两者而获取的最小化拟合误差,标识所述关注参数的所述正确值所在的所述子区域。
13.根据条款1至7中任一项所述的方法,包括:
a)多次执行第一拟合过程,所述第一拟合过程的每次执行包括:通过改变在数学模拟中使用的所述目标的数学模型中的一个或多个参数来将所述检测到的光瞳表示的所导出的非对称分量拟合到数学上被模拟的检测到的光瞳表示的非对称分量,直到拟合误差被最小化,所述一个或多个参数包括所述关注参数,其中所述第一拟合过程的每次执行以所述参考范围的多个子区域中的一个不同子区域中的所述关注参数的值开始,并且当所述拟合误差被最小化时产生所述关注参数的拟合值;
b)选择所述子区域的子集,所述子集中的每个子区域是如下的子区域:其中通过所述第一拟合过程而获取的最小化拟合误差小于不在所述子集中的所有子区域中通过所述第一拟合过程而获取的最小化拟合误差;
c)多次执行第二拟合过程,所述第二拟合过程的每次执行包括:通过改变在所述数学模拟中使用的所述目标的数学模型中的一个或多个参数,将所述检测到的光瞳表示的所导出的对称分量拟合到数学上被模拟的检测到的光瞳表示的对称分量,直到拟合误差被最小化,所述一个或多个参数包括所述关注参数,其中所述第二拟合过程的每次执行以通过在所述子区域的所选择的子集中的每个子区域中执行所述第一拟合过程而获取的所述关注参数的所述拟合值中的一个不同拟合值开始,并且当所述拟合误差被最小化时产生所述关注参数的拟合值;以及
d)将在步骤(c)中具有最小的最小化拟合误差的所述关注参数的所述拟合值标识为所述关注参数的所述正确值。
14.根据条款13所述的方法,其中:
所述非单调变化至少大致为周期性变化;
所述关注参数的值的参考范围与具有四个象限的所述周期性变化的一个周期相对应;以及
在步骤(a)中执行所述第一拟合过程所在的所述子区域包括:由所述象限中的第一象限组成的第一子区域;由所述象限中的第二象限和所述象限中的第三象限组成的第二子区域;以及由所述象限中的第四象限组成的第三子区域;以及
在步骤(b)中选择的所述子区域的所述子集由所述第一子区域、所述第二子区域和所述第三子区域中的两者组成。
15.根据条款1至7中任一项所述的方法,包括:
a)多次执行第二拟合过程,所述第二拟合过程的每次执行包括:通过改变在所述数学模拟中使用的所述目标的数学模型中的一个或多个参数,将所述检测到的光瞳表示的所导出的对称分量拟合到数学上被模拟的检测到的光瞳表示的对称分量,直到拟合误差被最小化,所述一个或多个参数包括所述关注参数,其中所述第二拟合过程的每次执行以所述参考范围的多个子区域中的一个不同子区域中的所述关注参数的值开始,并且当所述拟合误差被最小化时产生所述关注参数的拟合值;
b)选择所述子区域的子集,所述子集中的每个子区域是如下的子区域:其中通过所述第二拟合过程而获取的最小化拟合误差小于不在所述子集中的所有子区域中通过所述第二拟合过程而获取的最小化拟合误差;
c)多次执行第一拟合过程,所述第一拟合过程的每次执行包括:通过改变在所述数学模拟中使用的所述目标的数学模型中的一个或多个参数,将所述检测到的光瞳表示的所导出的非对称分量拟合到数学上被模拟的检测到的光瞳表示的非对称分量,直到拟合误差被最小化,所述一个或多个参数包括所述关注参数,其中所述第一拟合过程的每次执行以通过在所述子区域的所选择的子集中的每个子区域中执行所述第二拟合过程而获取的所述关注参数的所述拟合值中的一个不同拟合值开始,并且当所述拟合误差被最小化时产生所述关注参数的拟合值;
d)将在步骤(c)中具有最小的最小化拟合误差的所述关注参数的所述拟合值标识为所述关注参数的所述正确值。
16.根据前述条款中任一项所述的方法,其中所述对称分量相对于所述光瞳平面中的参考点是点对称的,或者相对于所述光瞳平面中的参考线是镜像对称的。
17.根据前述条款中任一项所述的方法,其中所述非对称分量相对于所述光瞳平面中的参考点是点非对称的,或者相对于所述光瞳平面中的参考线是非对称的。
18.根据前述条款中任一项所述的方法,其中所述关注参数包括以下中的一项或多项:所述目标中的非对称性的量度、套刻、侧壁角度非对称性、临界尺寸、叠层高度变化。
19.一种计算机程序产品,包括其上记录有指令的计算机非暂态可读介质,所述指令在由计算机执行时执行根据条款1至18中任一项所述的方法。
20.一种系统,包括:
计算机系统;以及
非暂态计算机可读存储介质,被配置为存储机器可读指令,其中所述机器可读指令在被执行时引起所述计算机系统执行根据条款1至18中任一项所述的方法。
21.一种用于测量衬底上的目标的量测装置,所述量测装置被配置为执行根据条款1至18中任一项所述的方法。
22.一种系统,包括:
量测装置,被配置为向衬底上提供辐射束并且检测由目标在所述衬底上重定向的辐射;以及
根据条款19所述条的计算机程序产品。
23.根据条款22所述的系统,还包括光刻装置,所述光刻装置包括:支撑结构,被配置为保持图案形成装置以调制辐射束;和投影光学系统,被布置为将所述调制的辐射束投射到辐射敏感衬底上,其中所述光刻装置被配置为基于使用所述量测装置和所述计算机程序产品而获取的信息来控制所述光刻装置的设置。
鉴于本说明书,本发明的各个方面的修改和替代实施例对于本领域技术人员将是很清楚的。因此,本说明书和附图仅应当被解释为是说明性的,并且目的是向本领域技术人员教导用于实施本发明的一般方式。应当理解,本文中示出和描述的本发明的形式将被视为实施例的示例。可以对本文中示出和描述的元素和材料进行代替,可以对各部分和过程进行颠倒或省略,可以独立地利用某些特征,并且可以组合实施例或实施例的特征,所有这些对于受益于本发明的本说明书的本领域技术人员而言是很清楚的。在不脱离如所附权利要求书中描述的本发明的精神和范围的情况下,可以对本文中描述的元素进行改变。本文中使用的标题仅用于组织目的,而并不意在用来限制本说明书的范围。
如在本申请中通篇使用的,词语“可以(may)”以允许的意义(即,表示有可能)而不是强制性的意义(即,必须)来使用。词语“包括(include/including/includes)”等表示包括但不限于。如在本申请中通篇使用的,除非内容另外明确指出,否则单数形式的“一个(a/an)”、和“该(the)”包括复数指示物。因此,例如,对“一个(an/a)”元件的引用包括两个或更多个元件的组合,尽管对于一个或多个元件使用其他术语和短语,诸如“一个或多个”。除非另有说明,否则术语“或”是非排他性的,即,包括“和”和“或”。描述条件关系的术语(例如,“响应于X,而Y”、“在X后,即Y”、“如果X、则Y”、“当X时、Y”等)包含因果关系,其中先决条件是必要的因果条件,先决条件是充分的因果条件,或者先决条件是结果的附带的因果条件,例如,“状态X在获取条件Y时发生”是“X仅在Y时发生”和“X在Y和Z之后发生”的通称。这样的条件关系不限于在获取先决条件之后立即发生的后果,因为某些后果可能会延迟,并且在条件陈述中,先决条件与它们的后果相关,例如,先决条件与发生后果的可能性有关。除非另有说明,否则其中多个性质或功能被映射到多个对象(例如,一个或多个处理器执行步骤A、B、C和D)的陈述既包含所有这样的性质或功能被映射到所有这样的对象,又包含性质或功能的子集被映射到性质或功能的子集(例如,既包含所有处理器均执行步骤AD,又包含如下情况:处理器1执行步骤A,处理器2执行步骤B、和步骤C的一部分,并且处理器3执行步骤C的一部分和步骤D)。此外,除非另有说明,否则一个值或动作“基于”另一条件或值的陈述既包含条件或值是唯一因素的情况,又包含条件或值是多个因素中的一个因素的情况。除非另有说明,否则某个集合的“每个”实例具有某个性质的陈述不应当理解为排除较大集合的某些以其他方式相同或相似的成员不具有该性质的情况,即,各自不一定表示每一个。
在某些美国专利、美国专利申请或其他材料(例如,论文)通过引用并入的程度上,这样的美国专利、美国专利申请和其他材料的文本仅通过引用并入到使得这样的材料与本文中给出的陈述和附图之间不存在冲突的程度。在发生这样的冲突的情况下,在这样的通过引用并入的美国专利、美国专利申请和其他材料中的任何这样的冲突文本均不通过引用明确并入本文。
上面的描述旨在是说明性的,而不是限制性的。因此,对于本领域的技术人员将很清楚的是,在不脱离下面阐述的权利要求的范围的情况下,可以对所描述的本公开进行修改。

Claims (16)

1.一种用于采样的方法,包括:
针对从对应目标集合获取的检测到的光瞳表示的集合中的每个检测到的光瞳表示,导出对称分量和非对称分量,其中所述对称分量和所述非对称分量在关注参数的值的参考范围上根据所述关注参数非单调地变化;
针对所述目标集合中的每个目标,导出所述对称分量的第一度量,并且使用所得到的所导出的第一度量和所述关注参数的对应值,估计所述第一度量相对于所述参考范围的子区域中的所述关注参数的所述值的变化率的至少符号;
针对所述目标集合中的每个目标,导出所述非对称分量的第二度量,并且使用所得到的所导出的第二度量和所述关注参数的对应值,估计所述第二度量相对于所述参考范围的所述子区域中的所述关注参数的所述值的变化率的至少符号;
使用所述第一度量的所述变化率的所述至少符号和所述第二度量的所述变化率的所述至少符号,标识所述子区域在所述参考范围中的位置。
2.根据权利要求1所述的方法,还包括:
选择所述目标集合中的所述目标,使得针对所述集合中的所有目标,所述关注参数的所述值在所述参考范围的所述子区域中。
3.根据权利要求1所述的方法,其中所述非单调变化至少大致为周期性变化。
4.根据权利要求3所述的方法,其中所述关注参数的值的所述参考范围与具有四个象限的所述周期性变化的一个周期相对应。
5.根据权利要求4所述的方法,其中所述子区域的所述位置如下被标识:
当所述第一度量的所述变化率为正、并且所述第二度量的所述变化率为负时,确定所述子区域位于所述四个象限中的第一象限中;
当所述第一度量的所述变化率为正、并且所述第二度量的所述变化率为正时,确定所述子区域位于所述四个象限中的第二象限中;
当所述第一度量的所述变化率为负、并且所述第二度量的所述变化率为正时,确定所述子区域位于所述四个象限中的第三象限中;以及
当所述第一度量的所述变化率为负、并且所述第二度量的所述变化率为负时,确定所述子区域位于所述四个象限中的第四象限中。
6.根据权利要求4或5所述的方法,其中所述目标集合中的所述目标被选择为使得针对所述集合中的所有目标,所述关注参数的所述值在所述参考范围的同一象限中。
7.根据权利要求6所述的方法,还包括:
选择在衬底上被靠近地放置的目标,使得所述关注参数的所述值针对所述目标集合中的每个目标都是相似的。
8.根据权利要求6所述的方法,还包括:
响应于确定在给定集合中的一些目标属于不同象限,更改所述目标的采样,直到每个集合中的所有目标都属于所述同一象限。
9.根据权利要求8所述的方法,其中通过使用滑动窗口改变所述集合的填充,来改变所述目标的所述采样。
10.根据权利要求3所述的方法,其中所述第一度量的所述周期性变化具有与所述第二度量的所述周期性变化相同的周期。
11.根据权利要求10所述的方法,其中所述第一度量的所述周期性变化相对于所述第二度量的所述周期性变化被相移。
12.根据权利要求11所述的方法,其中所述相移为90度。
13.根据权利要求3所述的方法,其中所述周期性变化至少大致为正弦曲线。
14.一种计算机可读存储介质,被配置为存储机器可读指令,其中当被执行时,所述机器可读指令使计算机系统执行根据权利要求1-13中任一项所述的方法。
15.一种用于测量衬底上的目标的测量装置,所述测量装置被配置为执行根据权利要求1-13中任一项所述的方法。
16.一种光刻系统,包括:
量测装置,被配置为将辐射束提供到衬底上并且检测被衬底上的目标重定向的辐射;
根据权利要求14所述的计算机可读存储介质;和
光刻装置,所述光刻装置包括:支撑结构,被配置为保持图案形成装置以调制辐射束;和投影光学系统,被布置为将所述调制的辐射束投射到辐射敏感衬底上,其中所述光刻装置被配置为基于使用所述量测装置和所述计算机可读存储介质而获取的信息来控制所述光刻装置的设置。
CN202310536654.5A 2018-04-18 2019-04-10 确定由图案化工艺形成的目标的所关注参数的值的方法 Pending CN116560196A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP18168041.4A EP3557327A1 (en) 2018-04-18 2018-04-18 Method of determining a value of a parameter of interest of a target formed by a patterning process
EP18168041.4 2018-04-18
CN201980026801.6A CN111989620B (zh) 2018-04-18 2019-04-10 确定由图案化工艺形成的目标的所关注参数的值的方法
PCT/EP2019/059049 WO2019201697A1 (en) 2018-04-18 2019-04-10 Method of determining a value of a parameter of interest of a target formed by a patterning process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201980026801.6A Division CN111989620B (zh) 2018-04-18 2019-04-10 确定由图案化工艺形成的目标的所关注参数的值的方法

Publications (1)

Publication Number Publication Date
CN116560196A true CN116560196A (zh) 2023-08-08

Family

ID=62025739

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201980026801.6A Active CN111989620B (zh) 2018-04-18 2019-04-10 确定由图案化工艺形成的目标的所关注参数的值的方法
CN202310536654.5A Pending CN116560196A (zh) 2018-04-18 2019-04-10 确定由图案化工艺形成的目标的所关注参数的值的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201980026801.6A Active CN111989620B (zh) 2018-04-18 2019-04-10 确定由图案化工艺形成的目标的所关注参数的值的方法

Country Status (6)

Country Link
US (1) US10585048B2 (zh)
EP (1) EP3557327A1 (zh)
CN (2) CN111989620B (zh)
IL (1) IL277980B2 (zh)
TW (1) TW201945831A (zh)
WO (1) WO2019201697A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021130315A1 (en) * 2019-12-24 2021-07-01 Asml Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
US11487929B2 (en) * 2020-04-28 2022-11-01 Kla Corporation Target design process for overlay targets intended for multi-signal measurements

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036459A1 (nl) * 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
JP6336068B2 (ja) * 2013-08-07 2018-06-06 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィシステムならびにデバイス製造方法
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US10811323B2 (en) * 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter

Also Published As

Publication number Publication date
EP3557327A1 (en) 2019-10-23
CN111989620A (zh) 2020-11-24
TW201945831A (zh) 2019-12-01
IL277980B1 (en) 2023-03-01
IL277980B2 (en) 2023-07-01
WO2019201697A1 (en) 2019-10-24
US20190323972A1 (en) 2019-10-24
US10585048B2 (en) 2020-03-10
CN111989620B (zh) 2023-05-12
IL277980A (en) 2020-11-30

Similar Documents

Publication Publication Date Title
CN109073997B (zh) 用于确定图案化工艺的参数的方法和设备
US11506566B2 (en) Method of processing data, method of obtaining calibration data
CN110998454B (zh) 用于确定图案化过程参数的方法
US10571363B2 (en) Method of determining an optimal focus height for a metrology apparatus
CN111684360B (zh) 优化量测过程的方法
CN111989620B (zh) 确定由图案化工艺形成的目标的所关注参数的值的方法
WO2018046246A1 (en) Method and apparatus to monitor a process apparatus
US10955744B2 (en) Method of determining a parameter of a pattern transfer process, device manufacturing method
US11016396B2 (en) Method, substrate and apparatus to measure performance of optical metrology
WO2021130315A1 (en) Method of determining a value of a parameter of interest of a target formed by a patterning process

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination