CN111684360B - 优化量测过程的方法 - Google Patents

优化量测过程的方法 Download PDF

Info

Publication number
CN111684360B
CN111684360B CN201980011373.XA CN201980011373A CN111684360B CN 111684360 B CN111684360 B CN 111684360B CN 201980011373 A CN201980011373 A CN 201980011373A CN 111684360 B CN111684360 B CN 111684360B
Authority
CN
China
Prior art keywords
target
radiation
substrate
metrology
pupil representation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980011373.XA
Other languages
English (en)
Other versions
CN111684360A (zh
Inventor
A·齐亚托马斯
J·J·文塞拉尔
S·阿尔·拉赫曼
M·V·梅德韦久瓦
B·O·夫艾格金格奥尔
M·M·扎尔
T·康托鲁皮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN111684360A publication Critical patent/CN111684360A/zh
Application granted granted Critical
Publication of CN111684360B publication Critical patent/CN111684360B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

公开了一种优化量测过程的方法。在一种布置中,通过对衬底上的第一目标多次应用量测过程来获得测量数据。量测过程的每次应用包括利用辐射斑照射第一目标并检测由第一目标改变方向的辐射。量测过程的应用包括以下应用中的任一种或两种:a)辐射斑相对于第一目标的多个位置处的应用;和b)辐射斑的多个聚焦高度处的应用。针对量测过程的每次应用,测量数据包括被改变方向的辐射的光学特性在光瞳平面中的检测到的光瞳表示。该方法包括基于测量数据中的检测到的光瞳表示与参考光瞳表示之间的比较来确定最佳对准和最佳聚焦高度中的任一个或两个。

Description

优化量测过程的方法
相关申请的交叉引用
本申请要求2018年2月2日提交的欧洲专利申请18154885.0的优先权,该欧洲专利申请的全部内容通过引用并入本文中。
技术领域
本公开涉及优化量测过程,特别地涉及量测过程所使用的辐射斑的对准和/或聚焦。
背景技术
光刻设备是将期望的图案施加至衬底上(通常施加至衬底的目标部分上)的机器。光刻设备可以用于例如集成电路(IC)的制造中。在那种情况下,图案形成装置(其替代地被称作掩模或掩模版)可以用于产生待形成在IC的单个层上的电路图案。可以将这种图案转移至衬底(例如硅晶片)上的目标部分(例如包括一个管芯或若干管芯的部分)上。典型地通过成像至在衬底上设置的辐射敏感材料(抗蚀剂)层上来进行图案的转移。通常,单个衬底将包含被连续地图案化的相邻目标部分的网络。
制造诸如半导体器件之类的器件典型地涉及使用数个制造过程来处理衬底(例如半导体晶片)以形成所述器件的各个特征且常常形成多个层。典型地使用例如沉积、光刻、蚀刻、化学机械抛光和离子注入来制造和处理这些层和/或特征。可以在衬底上的多个管芯上制造多个器件,并且接着将所述器件分离成单独的器件。这种器件制造过程可以被认为是图案化过程。图案化过程涉及图案转移步骤,如使用光刻设备的光学光刻和/或纳米压印光刻,以在衬底上提供图案,且典型地但可选地,涉及一个或更多个相关的图案处理步骤,如通过显影设备进行的抗蚀剂显影、使用焙烤工具焙烤衬底、通过蚀刻设备蚀刻图案等。另外,在图案化过程中涉及一个或更多个量测过程。
在图案化过程期间在各个步骤处使用量测过程来监测和/或控制所述过程。例如,量测过程是用于测量衬底的一个或更多个特性,如在图案化过程期间形成在衬底上的特征的相对位置(如配准、重叠、对准等)或尺寸(例如线宽、临界尺寸(CD)、厚度等),使得例如可以根据所述一个或更多个特性确定图案化过程的性能。如果所述一个或更多个特性是不可接受的(例如在特性的预定范围之外),则可以例如基于所述一个或更多个特性的测量来设计或变更图案化过程的一个或更多个变量,使得通过所述图案化过程制造的衬底具有可接受的特性。
几十年来,随着光刻术和其它图案化过程技术的改进,功能性元件的尺寸已不断地减小,同时每器件的功能性元件(诸如晶体管)的量已稳定地增加。同时,对在重叠、临界尺寸(CD)等方面的准确度要求已变得越来越严格。将在图案化过程中不可避免地产生误差,诸如重叠误差、CD误差等。例如,可能由于光学像差、图案形成装置加热、图案形成装置误差和/或衬底加热而产生成像误差,并且可以依据例如重叠、CD等来表征成像误差。另外或替代地,可能在图案化过程的其它部分中(诸如在蚀刻、显影、焙烤等中)引入误差,并且类似地,可以依据例如重叠、CD等来表征所述误差。所述误差可能造成在器件的运行方面的问题,包括器件运行的故障,或运行中的器件的一个或更多个电气问题。因此,期望能够表征一个或更多个这些误差且采取多个步骤来对图案化过程进行设计、修改、控制等以减少或最小化这些误差中的一个或更多个。
各种工具可用于执行量测过程,包括各种形式的散射仪。这些设备将辐射束引导至量测目标上,并测量被散射的辐射的一个或更多个属性-例如,在单个反射角下或整个反射角范围内的作为波长的函数的强度;在一个或更多个波长下的作为反射角的函数的强度;或作为反射角的函数的偏振-以获得从中可以确定目标的感兴趣的属性的“光谱”。感兴趣的属性的确定可以通过各种技术来执行:例如,通过使用严密耦合波分析或有限元方法实施的迭代方法进行的量测目标的重构;库搜索;和主成分分析。
可能期望将量测目标定位在可用空间较小的部位中,例如,在包含要制造的产品的结构的产品区域中。定位在这种区域中的量测目标必须较小。以足够的准确度将辐射斑与这样的量测目标对准是具有挑战性的。如果对准不理想,则辐射斑可能会对量测目标之外的区域进行采样,从而降低量测过程的准确度。
发明内容
期望改进用于测量目标的现有方法。
根据本发明的一个方面,提供了一种优化量测过程的方法,该方法包括:通过对衬底上的第一目标多次应用所述量测过程来获得测量数据,其中:量测过程的每次应用包括利用辐射斑照射第一目标并检测由第一目标改变方向的辐射;量测过程的应用包括以下应用中的任一种或两种:a)在辐射斑相对于第一目标的多个位置处的应用;b)在辐射斑的多个聚焦高度处的应用;针对量测过程的每次应用,测量数据包括光瞳平面中的被改变方向的辐射的光学特性的检测到的光瞳表示;并且该方法包括基于测量数据中的检测到的光瞳表示与参考光瞳表示之间的比较来确定最佳对准和最佳聚焦高度中的任一个或两个。
附图说明
现将参照示意性附图仅以示例性的方式描述本发明的实施例,在附图中相应的附图标记指代相应的部件,并且在附图中:
图1示意性地描绘光刻设备的实施例;
图2示意性地描绘光刻单元或光刻簇的实施例;
图3A是用于根据实施例的、使用提供某些照射模式的第一对照射孔来量测目标的量测设备的示意图;
图3B是目标的针对给定照射方向的衍射光谱的示意性细节;
图3C是在使用量测设备以用于进行基于衍射的重叠测量时提供另外的照射模式的第二对照射孔的示意图;
图3D是在使用量测设备以用于进行基于衍射的重叠测量时将第一对孔与第二对孔组合从而提供另外的照射模式的第三对照射孔的示意图;
图4示意性地描绘在衬底上的多个周期性结构(例如多个光栅)目标的形式和测量斑的轮廓;
图5示意性地描绘图3的设备中获得的图4的目标的图像;
图6示意性地描绘量测设备和量测技术的示例;
图7示意性地描绘量测设备的示例;
图8图示量测设备的照射斑与量测目标之间的关系;
图9示意性地描绘基于测量数据导出一个或更多个感兴趣的变量的过程;
图10A示意性地描绘示例单位单元、相关联的光瞳表示和相关联的导出的光瞳表示;
图10B示意性地描绘示例单位单元、相关联的光瞳表示和相关联的导出的光瞳表示;
图10C示意性地描绘包括单位单元的一个或更多个实体实例的示例目标;
图11示意性地描绘衬底上的器件区域和划线道;
图12是描绘产生测量数据的方法的流程图;
图13是描绘根据实施例的优化量测过程的方法的流程图;
图14描绘示例性测量数据;
图15是示出检测到的光瞳表示和参考光瞳表示之间的相关性随对准变化的曲线图;
图16描绘可以实施本公开的实施例的计算机系统。
具体实施方式
在详细描述实施例之前,提供可在其中实施实施例的示例性环境是有益的。
图1示意性地描绘了光刻设备LA。所述设备包括:
-照射系统(照射器)IL,所述照射系统被配置成调节辐射束B(例如UV辐射或DUV辐射);
-支撑结构(例如掩模台)MT,所述支撑结构被构造成支撑图案形成装置(例如掩模)MA,并且被连接至被配置成根据某些参数来准确地定位所述图案形成装置的第一定位器PM;
-衬底台(例如晶片台)WT,所述衬底台被构造成保持衬底(例如涂覆有抗蚀剂的晶片)W且被连接至被配置成根据某些参数来准确地定位所述衬底的第二定位器PW;和
-投影系统(例如折射式投影透镜系统)PS,所述投影系统被配置成将由图案形成装置MA赋予至辐射束B的图案投影至衬底W的目标部分C(例如包括一个或更多个管芯)上,所述投影系统被支撑在参考框架(RF)上。
照射系统可以包括用于引导、成形或控制辐射的各种类型的光学部件,诸如折射式、反射式、磁性式、电磁式、静电式或其它类型的光学部件,或其任何组合。
支撑结构以依赖于图案形成装置的方位、光刻设备的设计和其它条件(诸如图案形成装置是否被保持在真空环境中)的方式来支撑图案形成装置。支撑结构可以使用机械、真空、静电或其它夹持技术以保持图案形成装置。支撑结构可以是例如框架或台,其可以根据需要是固定或可移动的。支撑结构可以确保图案形成装置例如相对于投影系统处于期望的位置。可以认为本文中对术语“掩模版”或“掩模”的任何使用都与更上位的术语“图案形成装置”同义。
本文中所使用的术语“图案形成装置”应被广泛地解释为是指可以用于在衬底的目标部分中赋予图案的任何装置。在实施例中,图案形成装置为可以用于在辐射束的横截面中向辐射束赋予图案以便在衬底的目标部分中产生图案的任何装置。应注意,例如,如果被赋予至辐射束的图案包括相移特征或所谓的辅助特征,则所述图案可以不精确地对应于衬底的目标部分中的期望的图案。通常,被赋予至辐射束的图案将对应于目标部分中产生的器件(诸如集成电路)中的特定功能层。
图案形成装置可以是透射式的或反射式的。图案形成装置的示例包括掩模、可编程反射镜阵列和可编程液晶显示器(LCD)面板。掩模在光刻中是众所周知的,并且包括诸如二元掩模类型、交替相移和衰减相移掩模类型之类的掩模类型,以及各种混合式掩模类型。可编程反射镜阵列的示例使用小反射镜的矩阵布置,所述小反射镜中的每个小反射镜可以被单独地倾斜,以便在不同方向上反射入射辐射束。被倾斜的反射镜在由反射镜矩阵反射的辐射束中赋予图案。
本文中所使用的术语“投影系统”应被广泛地解释为涵盖适于所使用的曝光辐射或适于诸如浸没液体的使用或真空的使用之类的其它因素的任何类型的投影系统,包括折射式、反射式、反射折射式、磁性式、电磁式和静电式光学系统,或其任何组合。可以认为本文中对术语“投影透镜”的任何使用都与更上位的术语“投影系统”同义。
投影系统PS具有可以是非均一的且可能影响成像在衬底W上的图案的光学传递函数。对于非偏振辐射,这些效应可以由两个纯量映射非常好地描述,所述两个纯量映射描述作为离开投影系统PS的辐射的光瞳平面中的位置的函数的所述辐射的透射(变迹)和相对相位(像差)。可以将这些纯量映射(其可以被称为透射映射和相对相位映射)表达为基函数的全集的线性组合。特别方便的集合为泽尼克多项式,所述泽尼克多项式形成在单位圆上定义的正交多项式集合。每个纯量映射的确定可以涉及确定这种展开式中的系数。由于泽尼克多项式在单位圆上正交,因此可以通过依次计算所测量的纯量映射与每个泽尼克多项式的内积并将这种内积除以所述泽尼克多项式的范数的平方来确定泽尼克系数。
透射映射和相对相位映射依赖于场和系统。即,通常,每个投影系统PS对于每个场点(即,对于在其像平面中的每个空间位置)将具有不同的泽尼克展开式。可以通过将辐射例如从投影系统PS的物平面(即,图案形成装置MA的平面)中的类点源投影通过投影系统PS且使用剪切干涉仪以测量波前(即,具有相同相位的点的轨迹)来确定投影系统PS在其光瞳平面中的相对相位。剪切干涉仪为共同路径干涉仪,因此,有利地,无需次级参考束来测量波前。剪切干涉仪可以包括:投影系统的像平面(即,衬底台WT)中的衍射光栅,例如,二维栅格;和检测器,所述检测器被布置成检测与投影系统PS的光瞳平面共轭的平面中的干涉图案。干涉图案与辐射的相位关于在剪切方向上在光瞳平面中的坐标的导数有关。检测器可以包括感测元件阵列,诸如电荷耦合装置(CCD)的阵列。
光刻设备的投影系统PS可以不产生可见条纹,并且因此,可以使用相位步进技术(诸如例如移动所述衍射光栅)来增强波前的确定的准确度。可以在衍射光栅的平面中和在与测量的扫描方向垂直的方向上执行步进。步进范围可以是一个光栅周期,并且可以使用至少三个(均一地分布的)相位步进。因此,例如,可以在y方向上执行三次扫描测量,每次扫描测量是针对在x方向上的不同位置而被执行的。衍射光栅的这种步进将相位变化有效地转换成强度变化,从而允许确定相位信息。光栅可以在垂直于衍射光栅的方向(z方向)上步进以校准检测器。
可以通过将辐射例如从投影系统PS的物平面(即,图案形成装置MA的平面)中的类点源投影通过投影系统PS且使用检测器来测量与投影系统PS的光瞳平面共轭的平面中的辐射强度来确定投影系统PS在其光瞳平面中的透射(变迹)。可以使用与用于测量波前以确定像差的检测器相同的同一检测器。
投影系统PS可以包括多个光学(例如,透镜)元件且还可以包括调整机构AM,所述调整机构被配置成调整所述光学元件中的一个或更多个光学元件以便校正像差(横跨整个场的光瞳平面的相位变化)。为了实现这种校正,调整机构可以是能够操作的从而以一种或更多种不同的方式操控投影系统PS内的一个或更多个光学(例如,透镜)元件。投影系统可以具有其光轴在z方向上延伸的坐标系。调整机构可以是能够操作的以进行以下各项的任何组合:使一个或更多个光学元件移位;使一个或更多个光学元件倾斜;和/或使一个或更多个光学元件变形。光学元件的移位可以沿任何方向(x、y、z或其组合)。光学元件的倾斜典型地通过绕x和/或y方向上的轴旋转来偏离垂直于光轴的平面,但对于非旋转对称的非球面光学元件来说可以使用绕z轴的旋转。光学元件的变形可以包括低频形状(例如,像散)和/或高频形状(例如,自由形式非球面)。可以例如通过使用一个或更多个致动器向光学元件的一个或更多个侧施加力和/或通过使用一个或更多个加热元件加热光学元件的一个或更多个选定区,从而执行光学元件的变形。通常,不可能调整投影系统PS以校正变迹(横跨光瞳平面的透射变化)。可以在设计用于光刻设备LA的图案形成装置(例如,掩模)MA时使用投影系统PS的透射映射。使用计算光刻技术,图案形成装置MA可以被设计为用于至少部分地校正变迹。
如这里描绘的,所述设备属于透射类型(例如,使用透射式掩模)。替代地,所述设备可以属于反射类型(例如,使用上文提及的类型的可编程反射镜阵列,或使用反射式掩模)。
光刻设备可以属于具有两个台(双平台)或更多个台(例如,两个或更多个衬底台WTa、WTb、两个或更多个图案形成装置台、在没有专用于例如促进测量和/或清洁等的衬底的情况下在投影系统下方的衬底台WTa和台WTb)的类型。在这些“多平台”机器中,可以并行地使用额外的台,或可以在一个或更多个台上进行预备步骤,同时将一个或更多个其它台用于曝光。例如,可以进行使用对准传感器AS的对准测量和/或使用水平传感器LS的水平(高度、倾斜等)测量。
光刻设备也可以属于如下类型:其中衬底的至少一部分可以由具有相对高折射率的液体(例如,水)覆盖,以便填充投影系统与衬底之间的空间。也可以将浸没液体施加至光刻设备中的其它空间,例如,图案形成装置与投影系统之间的空间。浸没技术在本领域中被众所周知地用于增大投影系统的数值孔径。如本文中所使用的术语“浸没”并不意味着诸如衬底之类的结构必须浸没在液体中,而是仅意味着液体在曝光期间位于投影系统与衬底之间。
参考图1,照射器IL从辐射源SO接收辐射束。例如,当源为准分子激光器时,源和光刻设备可以是分立的实体。在这样的情况下,不认为源形成光刻设备的部分,并且辐射束是借助于包括例如合适的定向反射镜和/或扩束器的束传递系统BD而从源SO传递至照射器IL。在其它情况下,例如,当源为汞灯时,源可以是光刻设备的组成部分。源SO和照射器IL与束传递系统BD(在需要时)一起可以被称作辐射系统。
照射器IL可以包括被配置成调整辐射束的角强度分布的调整器AD。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别被称作σ-外部和σ-内部)。另外,照射器IL可以包括各种其它部件,诸如积分器IN和聚光器CO。照射器可以用于调节辐射束,以在其横截面中具有期望的均一性和强度分布。
辐射束B入射到被保持在支撑结构(例如,掩模台)MT上的图案形成装置(例如,掩模)MA上,并且由所述图案形成装置图案化。在已横穿图案形成装置MA的情况下,辐射束B穿过投影系统PS,投影系统PS将所述束聚焦至衬底W的目标部分C上。借助于第二定位器PW和位置传感器IF(例如,干涉装置、线性编码器、2-D编码器或电容性传感器),可以准确地移动衬底台WT,例如,以便将不同的目标部分C定位到辐射束B的路径中。类似地,第一定位器PM和另一位置传感器(其未在图1中被明确地描绘)可以用于例如在从掩模库机械获取图案形成装置MA之后或在扫描期间相对于辐射束B的路径来准确地定位图案形成装置MA。通常,可以借助于形成第一定位器PM的部分的长行程模块(粗定位)和短行程模块(精定位)来实现支撑结构MT的移动。类似地,可以使用形成第二定位器PW的部分的长行程模块和短行程模块来实现衬底台WT的移动。在步进器(相对于扫描仪)的情况下,支撑结构MT可以仅连接至短行程致动器,或可以是固定的。可以使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA和衬底W。尽管如图所示的衬底对准标记占据专用的目标部分,但所述衬底对准标记可以位于目标部分之间的空间中(这些标记被称为划线对准标记)。类似地,在多于一个的管芯被设置在图案形成装置MA上的情形中,图案形成装置对准标记可以位于所述管芯之间。
可以以以下模式中的至少一种来使用所描绘的设备:
1.在步进模式中,在将被赋予至辐射束的整个图案一次性投影至目标部分C上时,使支撑结构MT和衬底台WT保持基本上静止(即,单次静态曝光)。接着,使衬底台WT在X和/或Y方向上移位,使得可以曝光不同的目标部分C。在步进模式中,曝光场的最大尺寸限制单次静态曝光中成像的目标部分C的尺寸。
2.在扫描模式中,在将被赋予至辐射束的图案投影至目标部分C上时,同步地扫描支撑结构MT和衬底台WT(即,单次动态曝光)。可以通过投影系统PS的放大率(缩小率)和图像反转特性来确定衬底台WT相对于支撑结构MT的速度和方向。在扫描模式中,曝光场的最大尺寸限制单次动态曝光中的目标部分的宽度(在非扫描方向上),而扫描运动的长度确定目标部分的高度(在扫描方向上)。
3.在另一模式中,在将被赋予至辐射束的图案投影至目标部分C上时,使支撑结构MT保持基本上静止,从而保持可编程图案形成装置,并且移动或扫描衬底台WT。在这种模式中,通常使用脉冲式辐射源,并且在衬底台WT的每次移动之后或在扫描期间的连续辐射脉冲之间根据需要而更新可编程图案形成装置。这种操作模式可以易于应用至利用可编程图案形成装置(诸如上文提及的类型的可编程反射镜阵列)的无掩模光刻术。
也可以使用上文描述的使用模式或完全不同的使用模式的组合和/或变化。
如图2所示,光刻设备LA可以形成光刻单元LC(有时也被称作光刻元或光刻簇)的部分,光刻单元LC也包括用于对衬底执行曝光前过程和曝光后过程的设备。通常,这些设备包括用于沉积一个或更多个抗蚀剂层的一个或更多个旋涂器SC、用于显影曝光后的抗蚀剂的一个或更多个显影器DE、一个或更多个激冷板CH和/或一个或更多个焙烤板BK。衬底处理装置或机器人RO从输入/输出端口I/O1、I/O2拾取一个或更多个衬底,在不同过程设备之间移动衬底并将衬底传递至光刻设备的进料台LB。常常被统称为涂覆显影系统的这些设备处于涂覆显影系统控制单元TCU的控制下,涂覆显影系统控制单元TCU自身受到管理控制系统SCS控制,管理控制系统SCS也经由光刻控制单元LACU来控制光刻设备。因此,不同的设备可以被操作以最大化生产量和处理效率。
为了正确地且一致地曝光由光刻设备曝光的衬底,需要检测曝光后的衬底以测量或确定一个或更多个属性,诸如重叠(其可以例如在覆盖层中的结构之间,或在同一层中的已通过例如双重图案化过程分离地提供至所述层的结构之间)、线厚度、临界尺寸(CD)、聚焦偏移、材料属性等。因此,其中设置有光刻单元LC的制造设施典型地也包括量测系统MET,量测系统MET接收已在光刻单元中被处理的衬底W中的一些或全部衬底。量测系统MET可以是光刻单元LC的部分,例如,所述量测系统可以是光刻设备LA的部分。
可以将量测结果直接或间接地提供至管理控制系统SCS。如果检测到误差,则可以对后续衬底的曝光(尤其在可以足够迅速且快速地完成检测使得批量的一个或更多个其它衬底仍将要曝光的情况下)和/或对曝光后的衬底的后续曝光进行调整。此外,已曝光的衬底可以被剥离和返工以改善产率,或被舍弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的那些目标部分执行进一步的曝光。
在量测系统MET内,量测设备用于确定衬底的一个或更多个属性,尤其是确定不同衬底的一个或更多个属性如何变化,或同一衬底的不同层在不同层间如何变化。量测设备可以集成至光刻设备LA或光刻单元LC中,或可以是单独的装置。为了实现快速测量,期望使量测设备在曝光之后立即测量曝光后的抗蚀剂层的一个或更多个属性。然而,抗蚀剂中的潜像具有低对比度-在抗蚀剂的已被曝光至辐射的部分与抗蚀剂的尚未被曝光至辐射的部分之间仅存在非常小的折射率差-且并非所有量测设备都具有足够的敏感度来进行潜像的充分测量。因此,可以在曝光后焙烤步骤(PEB)之后进行测量,曝光后焙烤步骤通常是对曝光后的衬底进行的第一步骤且增加抗蚀剂的曝光后的部分与未曝光的部分之间的对比度。在这种阶段,抗蚀剂中的图像可以被称为半潜像。也可以对显影后的抗蚀剂图像进行测量-这时,抗蚀剂的曝光后的部分或未曝光的部分已被移除-或在诸如蚀刻之类的图案转移步骤之后对显影后的抗蚀剂图像进行测量。后一可能性限制返工有缺陷衬底的可能性,但仍可以提供有用的信息。
为了实现量测,可以将一个或更多个目标提供到衬底上。在实施例中,目标被专门设计且可以包括周期性结构。在实施例中,目标为器件图案的一部分,例如为器件图案的周期性结构。在实施例中,器件图案为存储器装置的周期性结构(例如,双极晶体管(BPT)、位线触点(BLC)等结构)。
在实施例中,衬底上的目标可以包括一个或更多个一维周期性结构(例如,光栅),所述周期性结构被印刷成使得在显影之后,该周期性结构特征由实体抗蚀剂线形成。在实施例中,目标可以包括一个或更多个二维周期性结构(例如,光栅),所述周期性结构被印刷成使得在显影之后,所述一个或更多个周期性结构由抗蚀剂中的实体抗蚀剂导柱或通孔形成。栅条、导柱或通孔可以替代地被蚀刻至衬底中(例如,被蚀刻至衬底上的一个或更多个层中)。
在实施例中,图案化过程的感兴趣的参数中的一个为重叠。可以使用暗场散射测量来测量重叠,其中阻挡零衍射阶(对应于镜面反射),并且仅处理较高阶。可以在PCT专利申请公开出版物号WO 2009/078708和WO 2009/106279中发现暗场量测的示例,这些专利申请公开出版物的全文由此以引用方式并入。美国专利申请公开出版物US2011-0027704、US2011-0043791和US2012-0242970中已描述所述技术的进一步发展,所述专利申请公开出版物的全文由此以引用方式并入。使用衍射阶的暗场检测的基于衍射的重叠能够实现对较小目标的重叠测量。这些目标可以小于照射斑且可以由衬底上的器件产品结构环绕。在实施例中,可以在一次辐射捕获中测量多个目标。
图3A中示意性地示出适合用于实施例中以测量例如重叠的量测设备。图3B中更详细地图示目标T(包括诸如光栅之类的周期性结构)和衍射射线。量测设备可以是单独的装置,或并入例如测量站处的光刻设备LA中或并入光刻单元LC中。在整个所述设备上具有若干分支的光轴由虚线O表示。在这种设备中,由输出装置11(例如,诸如激光器或氙气灯的源,或连接至源的开口)发射的辐射由包括透镜12、14和物镜16的光学系统经由棱镜15而引导至衬底W上。这些透镜被布置成4F布置的双重序列。可以使用不同的透镜布置,只要所述透镜布置仍将衬底图像提供至检测器上。
在实施例中,透镜布置允许进入中间光瞳平面以用于空间-频率滤光。因此,可以通过在呈现衬底平面的空间光谱的平面(这里被称作(共轭)光瞳平面)中限定空间强度分布,来选择辐射入射到衬底上的角度范围。具体地,可以例如通过在作为物镜光瞳平面的背向投影图像的平面中、在透镜12与14之间插入合适形式的孔板13来进行这种选择。在图示的示例中,孔板13具有不同的形式(被标注为13N和13S),从而允许选择不同的照射模式。本示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13N提供偏离仅出于描述目的而被指定为“北”的方向的离轴照射。在第二照射模式中,孔板13S用于提供类似的照射但偏离被标注为“南”的相反的方向。通过使用不同的孔,其它照射模式是可能的。光瞳平面的其余部分理想地是暗的,这是因为期望的照射模式之外的任何不必要的辐射可能干涉期望的测量信号。
如图3B所示,目标T被放置成使得衬底W大体上垂直于物镜16的光轴O。从轴线O偏离一角度照射在目标T上的照射射线I引起零阶射线(实线0)和两个一阶射线(点划线+1和双点划线-1)。在利用过填充的小目标T的情况下,这些射线仅仅是覆盖衬底的包括量测目标T和其它特征的区域的许多平行射线中的一条平行射线。由于板13中的孔具有有限的宽度(接纳有用量的辐射所必要的),因此入射射线I实际上将占据一角度范围,并且衍射射线0和+1/-1将稍微散开。根据小目标的点扩散函数,每个阶+1和-1将在角度范围内进一步扩散,而非如示出的单条理想射线。应注意,周期性结构节距和照射角度可以被设计或被调整成使得进入物镜的一阶射线与中心光轴紧密地对准。图3A和图3B中图示的射线被示出为稍微离轴,纯粹是为了使其能够在图中被较容易地区分。由衬底W上的目标衍射的至少0阶和+1阶由物镜16收集,并且被返回引导通过棱镜15。
返回至图3A,通过指定被标注为北(N)和南(S)的完全相对孔来图示第一照射模式和第二照射模式两者。当入射射线I来自光轴的北侧时,即,当使用孔板13N来应用第一照射模式时,被标注为+1(N)的+1衍射射线进入物镜16。与此对比,当使用孔板13S来应用第二照射模式时,-1衍射射线(被标注为-1(S))为进入透镜16的衍射射线。因此,在实施例中,通过在某些条件下测量目标两次(例如,在使目标旋转或改变照射模式或改变成像模式以分离地获得-1衍射阶强度和+1衍射阶强度之后)来获得测量结果。针对给定目标比较这些强度会提供所述目标中的不对称性的测量结果,并且所述目标中的不对称性可以用作光刻过程的参数(例如,重叠)的指标。在以上描述的情形下,改变照射模式。
分束器17将衍射束划分成两个测量分支。在第一测量分支中,光学系统18使用零阶衍射束和一阶衍射束来在第一传感器19(例如,CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射阶射中传感器上的不同点,使得图像处理可以比较和对比多个阶。由传感器19捕获的光瞳平面图像可以用于聚焦量测设备和/或对强度测量的结果进行归一化。光瞳平面图像也可以用于诸如重构之类的其它测量目的,如下文进一步描述的。
在第二测量分支中,光学系统20、22在传感器23(例如,CCD或CMOS传感器)上形成衬底W上的目标的图像。在第二测量分支中,将孔径光阑21设置在与物镜16的光瞳平面共轭的平面中。孔径光阑21用于阻挡零阶衍射束,使得形成在传感器23上的目标的图像由-1或+1阶束形成。将关于由传感器19和23测量的图像的数据输出至处理器和控制器PU,处理器和控制器PU的功能将依赖于正被执行的测量的特定类型。应注意,这里在广义上使用术语“图像”。因而如果仅存在-1阶和+1阶中的一个,则将不形成周期性结构特征(例如,光栅线)的图像。
图3中示出的孔板13和光阑21的特定形式纯粹是示例。在另一实施例中,使用对目标的同轴照射,并且使用具有离轴孔的孔径光阑将大体上仅一个一阶衍射辐射传递至传感器。在又一其它实施例中,代替一阶束或除了一阶束之外,二阶束、三阶束和更高阶束(图3中未图示)也可以用于测量中。
为了使照射可适应于这些不同类型的测量,孔板13可以包括围绕圆盘而形成的数个孔图案,所述圆盘旋转以使期望的图案处于适当位置。应注意,使用孔板13N或13S测量目标的在一个方向(依赖于设置而为X或Y)上定向的周期性结构。为了测量正交周期性结构,可以实施达90°和270°的目标旋转。图3C和图3D中示出不同的孔板。图3C图示离轴照射模式的另外两种类型。在图3C的第一照射模式中,孔板13E提供偏离仅出于描述目的而相对于先前描述的“北”指定为“东”的方向的离轴照射。在图3C的第二照射模式中,孔板13W用于提供类似的照射,但提供偏离被标注为“西”的相反方向的照射。图3D图示离轴照射模式的另外两种类型。在图3D的第一照射模式中,孔板13NW提供偏离被指定为如先前描述的“北”和“西”的方向的离轴照射。在第二照射模式中,孔板13SE用于提供类似的照射,但提供偏离被标注为如先前描述的“南”和“东”的相反方向的照射。例如,上文提及的先前公布的专利申请公开出版物中描述设备的这些和多个其它变化和应用的使用。
图4描绘形成在衬底上的示例性复合量测目标T。所述复合目标包括紧密地定位在一起的四个周期性结构(在这种情况下,为光栅)32、33、34、35。在实施例中,可以使周期性结构布局小于测量斑(即,周期性结构布局被过填充)。因此,在实施例中,周期性结构被足够接近地定位在一起,使得所述周期性结构都在由量测设备的照射束形成的测量斑31内。在这种情况下,所述四个周期性结构因此都被同时地照射且同时地成像在传感器19和23上。在专用于重叠测量的示例中,周期性结构32、33、34、35自身为由重叠周期性结构而形成的复合周期性结构(例如,复合光栅),即,周期性结构在形成在衬底W上的器件的不同层中被图案化且使得一个层中的至少一个周期性结构与不同层中的至少一个周期性结构重叠。这种目标可以具有在20μm×20μm内或在16μm×16μm范围内的外部尺寸。另外,所有周期性结构用于测量特定层对之间的重叠。为了促使目标能够测量多于单个层对,周期性结构32、33、34、35可以具有被不同偏置的重叠偏移,以便促进被形成有复合周期性结构的不同部分的不同层之间的重叠的测量。因此,用于衬底上的目标的所有周期性结构将用于测量一个层对,并且用于衬底上的另一相同目标的所有周期性结构将用于测量另一层对,其中不同的偏置促进区分所述层对。
返回至图4,周期性结构32、33、34、35也可以在其方位方面不同(如所示出的),以便在X和Y方向上衍射入射辐射。在一个示例中,周期性结构32和34为分别具有+d、-d的偏置的X方向周期性结构。周期性结构33和35可以是分别具有偏移+d和-d的Y方向周期性结构。虽然图示四个周期性结构,但另一实施例可以包括较大的矩阵以获得期望的准确度。例如,九个复合周期性结构的3×3阵列可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。可以在由传感器23捕获的图像中识别这些周期性结构的单独的图像。
图5示出在使用来自图3D的孔板13NW或13SE的情况下在图3的设备中使用图4的目标而可以形成在传感器23上并由传感器23检测的图像的示例。虽然传感器19不能分辨不同的单独的周期性结构32至35,但传感器23可以分辨不同的单独的周期性结构32至35。暗矩形表示传感器上的图像的场,在所述场内,衬底上的照射斑31被成像至对应的圆形区域41中。在所述场内,矩形区域42至45表示周期性结构32至35的图像。并非定位在划线中或除了定位在划线中之外,目标也可以被定位在器件产品特征之中。如果周期性结构位于器件产品区域中,则在这种图像场的周边中也可以看见器件特征。处理器和控制器PU使用图案识别来处理这些图像,以识别周期性结构32至35的单独的图像42至45。以这种方式,图像并不必须在传感器框架内的特定部位处非常精确地对准,这极大地改善量测设备整体上的生产量。
一旦已识别出周期性结构的单独的图像,就可以例如通过对被识别的区域内的选定像素强度值进行平均化或求和来测量那些单独的图像的强度。可以将所述图像的强度和/或其它属性彼此比较。可以组合这些结果以测量光刻过程的不同的参数。重叠性能是这种参数的示例。
在实施例中,图案化过程的感兴趣的参数中的一个参数是特征宽度(例如,CD)。图6描绘可以实现特征宽度确定的高度示意性的示例量测设备(例如,散射仪)。所述量测设备包括将辐射投影至衬底W上的宽带(白光)辐射投影仪2。被改变方向后的辐射传递至光谱仪检测器4,所述光谱仪检测器测量镜面反射辐射的光谱10(强度作为波长的函数),如例如在左下方的曲线图中示出的。根据这种数据,可以通过处理器PU,例如通过严密耦合波分析和非线性回归或通过与图6的右下方所示出的模拟光谱的库的比较,来重构导致所检测的光谱的结构或轮廓。通常,对于重构,所述结构的一般形式是已知的,并且根据用于制造该结构的过程的知识来假定一些变量,从而仅留下所述结构的将要根据所测量的数据来确定的几个变量。这种量测设备可以被配置成正入射量测设备或斜入射量测设备。此外,除了通过重构进行参数的测量之外,角分辨式散射测量也有用于产品和/或抗蚀剂图案中的特征的不对称性测量。不对称性测量的特定应用是针对重叠的测量,其中目标包括一组周期性特征,所述一组周期性特征叠置在另一组周期性特征上。例如,全文被并入本文中的美国专利申请公开出版物US2006-066855中描述以这种方式进行的不对称性测量的概念。
图7图示适合在本公开的实施例中使用的量测设备100的示例。全文以引用方式并入本文中的美国专利申请号US 2006-033921和US 2010-201963中更详细地解释这种类型的量测设备的操作原理。在整个所述设备上具有若干分支的光轴由虚线O表示。在这种设备中,由源110(例如,氙气灯)发射的辐射经由光学系统被引导至衬底W上,所述光学系统包括:透镜系统120、孔板130、透镜系统140、部分反射表面150和物镜160。在实施例中,这些透镜系统120、140、160被布置成4F布置的双重序列。在实施例中,使用透镜系统120来准直由辐射源110发射的辐射。可以根据需要使用不同的透镜布置。可以通过在呈现衬底平面的空间光谱的平面中限定空间强度分布来选择辐射被入射到衬底上的角度范围。具体地,可以通过在作为物镜光瞳平面的背向投影式图像的平面中在透镜120与140之间插入合适形式的孔板130来进行这种选择。通过使用不同的孔,不同的强度分布(例如,环形、偶极等)是可能的。在径向和周边方向上的照射的角分布以及诸如辐射的波长、偏振和/或相干性之类的属性全部都可以被调整以获得期望的结果。例如,一个或更多个干涉滤光器130(参见图9)可以设置在源110与部分反射表面150之间以选择在(比如)400nm至900nm或甚至更低(诸如200nm至300nm)范围内的感兴趣的波长。干涉滤光器可以是可调节的,而不是包括不同滤光器的集合。可以使用光栅来代替干涉滤光器。在实施例中,一个或更多个偏振器170(参见图9)可以设置在源110与部分反射表面1 50之间以选择感兴趣的偏振。偏振器可以是可调节的,而不是包括不同偏振器的集合。
如图7所示,目标T被放置成使得衬底W垂直于物镜160的光轴O。因此,来自源110的辐射由部分反射表面150反射且经由物镜160聚焦至衬底W上的目标T上的照射斑S(参见图8)中。在实施例中,物镜160具有高数值孔径(NA),期望地为至少0.9或至少0.95。浸没量测设备(使用相对高折射率流体,诸如水)甚至可以具有大于1的数值孔径。
从轴线O偏离角度而聚焦至照射斑的照射射线170、172引起衍射射线174、176。应记住,这些射线仅仅是覆盖衬底的包括目标T的区域的许多平行射线中的一条平行射线。照射斑内的每个元件在量测设备的视场内。由于板130中的孔具有有限的宽度(接纳有用量的辐射所必要的),因此入射射线170、172实际上将占据一角度范围,并且衍射射线174、176将稍微散开。根据小目标的点扩散函数,每个衍射阶将在角度范围上进一步扩散,而非如所示出的单条理想射线。
由衬底W上的目标衍射的至少0阶由物镜160收集,并且被返回引导通过部分反射表面150。光学元件180将衍射束的至少一部分提供至光学系统182,光学系统182使用零阶和/或一阶衍射束在传感器190(例如,CCD或CMOS传感器)上形成目标T的衍射光谱(光瞳平面图像)。在实施例中,提供孔186以过滤出某些衍射阶使得特定衍射阶被提供至传感器190。在实施例中,孔186允许大体上或主要仅零阶辐射到达传感器190。在实施例中,传感器190可以是二维检测器,使得可以测量衬底目标T的二维角散射光谱。传感器190可以是例如CCD或CMOS传感器的阵列,并且可以使用例如每帧40毫秒的积分时间。传感器190可以用于测量在单个波长(或窄波长范围)下的被改变方向后的辐射的强度、分离地在多个波长下的被改变方向后的辐射的强度、或在一波长范围上被积分的被改变方向后的辐射的强度。此外,传感器可以用于分离地测量具有横向磁偏振和/或横向电偏振的辐射的强度,和/或横向磁偏振辐射与横向电偏振辐射之间的相位差。
可选地,光学元件180将衍射束的至少一部分提供至测量分支200以在传感器230(例如,CCD或CMOS传感器)上形成衬底W上的目标的图像。测量分支200可以用于各种辅助功能,诸如聚焦量测设备(即,使衬底W能够与物镜160焦点对准),和/或用于引言中提及的类型的暗场成像。
为了针对光栅的不同尺寸和形状提供定制的视场,在从源110至物镜160的路径上在透镜系统140内提供可调整的场光阑300。场光阑300包含孔302且位于与目标T的平面共轭的平面中,使得照射斑变为孔302的图像。可以根据放大因子而缩放图像,或孔与照射斑的尺寸的关系可以是1∶1。为了使照射可适应于不同类型的测量,孔板300可以包括围绕圆盘形成的数个孔图案,所述圆盘旋转以使期望的图案处于适当位置。替代地或另外地,可以提供和调换一组板300,以实现相同的效应。另外地或替代地,也可以使用可编程孔装置,诸如可变形反射镜阵列或透射空间光调制器。
典型地,以目标的周期性结构特征平行于Y轴或平行于X轴延伸的方式对准目标。关于目标的衍射行为,具有在平行于Y轴的方向上延伸的特征的周期性结构具有在X方向上的周期性,而具有在平行于X轴的方向上延伸的特征的周期性结构具有在Y方向上的周期性。为了测量在两个方向上的性能,通常提供两种类型的特征。虽然为了简单起见将参考线和空间,但周期性结构无需由线和空间形成。此外,每条线和/或线之间的空间可以是由较小子结构形成的结构。另外,周期性结构可以被形成为在两个维度上同时具有周期性(例如在周期性结构包括柱和/或通孔的情况下)。
图8图示典型的目标T的平面图,和图7的设备中的照射斑S的范围。为了获得没有来自周围结构的干涉的衍射光谱,在实施例中,目标T为大于照射斑S的宽度(例如,直径)的周期性结构(例如,光栅)。斑S的宽度可以小于目标的宽度和长度。换句话说,目标是由照射“欠填充的”,并且衍射信号基本上不含来自目标自身之外的产品特征及其类似物的任何信号。这种情形简化目标的数学重构,这是因为可以将目标视为无限的。在其它实施例中,如下所述,目标可能不是完全欠填充的,和/或辐射斑相对于目标的对准不良可能导致目标外部的特征对信号作出贡献。
图9示意性地描绘基于使用量测获得的测量数据而进行目标图案30’的一个或更多个感兴趣的变量的值的确定的示例过程。由检测器190所检测的辐射提供用于目标30’的所测量的辐射分布108。
针对给定目标30’,可以使用例如数值麦克斯韦求解器210来根据参数化数学模型206对辐射分布208进行计算/模拟。参数化数学模型206示出构成目标和与所述目标相关联的各个材料的示例层。参数化数学模型206可以包括针对处于考虑中的目标的部分的特征和层的变量中的一个或更多个变量,所述一个或更多个变量可以被改变和被导出。如图9所示,所述变量中的一个或更多个变量可以包括一个或更多个层的厚度t、一个或更多个特征的宽度w(例如,CD)、一个或更多个特征的高度h、一个或更多个特征的侧壁角α,和/或特征之间的相对位置(本文中被认为是重叠)。尽管图中未示出,但所述变量中的一个或更多个变量还可以包括但不限于:所述层中的一个或更多个层的折射率(例如,真折射率或复折射率、折射率张量等)、一个或更多个层的消光系数、一个或更多个层的吸收率、在显影期间的抗蚀剂损失、一个或更多个特征的基脚,和/或一个或更多个特征的线边缘粗糙度。根据图案化过程和/或其它测量过程的知识,可以将一维周期性结构或二维周期性结构的一个或更多个参数的一个或更多个值(诸如宽度、长度、形状或三维轮廓特性的值)输入至重构过程。例如,变量的初始值可以是针对正在被测量的目标的一个或更多个参数(诸如CD、节距等)的那些预期值。
在一些情况下,可以将目标划分成单位单元的多个实例。在所述情况下,为了帮助容易计算目标的辐射分布,可以将模型206设计为使用目标的结构的单位单元进行计算/模拟,其中单位单元被重复作为横跨完整目标的多个实例。因此,模型206可以使用一个单位单元进行计算且复制结果以使用适当的边界条件来拟合整个目标,以便确定所述目标的辐射分布。
除了在重构时计算辐射分布208之外或替代在重构时计算辐射分布208,也可以针对处于考虑中的目标部分的变量的多个变化预计算多个辐射分布208以产生用于在重构时使用的辐射分布的库。
接着在212处将所测量的辐射分布108与所计算的辐射分布208(例如,接近所述时计算的辐射分布或从库中获得的辐射分布)进行比较,以确定所测量的辐射分布108与所计算的辐射分布208之间的差。如果存在差,则可以使参数化数学模型206的变量中的一个或更多个变量的值变化,获得(例如,计算或从库中获得)新的计算的辐射分布208且将其与所测量的辐射分布108进行比较,直至在所测量的辐射分布108与辐射分布208之间存在足够匹配为止。此时,参数化数学模型206的变量的值提供实际目标30’的几何形状的良好或最佳匹配。在实施例中,当所测量的辐射分布108与所计算的辐射分布208之间的差在容许阈值内时存在足够匹配。
在这些量测设备中,可以提供衬底支撑件以在测量操作期间保持衬底W。衬底支撑件可以在形式上与图1的衬底台WT类似或相同。在量测设备与光刻设备集成的示例中,衬底支撑件可以甚至为同一衬底台。可以提供粗定位器和精定位器以相对于测量光学系统准确地定位衬底。提供各种传感器和致动器例如以获取感兴趣的目标的位置,并且将感兴趣的目标带入至物镜下方的位置中。典型地将对横跨衬底W的不同部位处的目标进行许多测量。可以在X和Y方向上移动衬底支撑件以获取不同的目标,并且可以在Z方向上移动衬底支撑件以获得目标相对于光学系统的焦点的期望的部位。例如,当实际上光学系统可以保持大体上静止(典型地在X和Y方向上,但也可能在Z方向上)且仅衬底移动时,方便的是将操作考虑并描述为如同物镜被带入至相对于衬底的不同部位。如果衬底和光学系统的相对位置正确,则原则上无关紧要的是:衬底与光学系统中的哪个在真实世界中移动,或其两者都移动,或光学系统的一部分的组合移动(例如,在Z方向和/或倾斜方向上),其中光学系统的剩余部分静止且衬底移动(例如,在X和Y方向上,并且可选地也在Z方向和/或倾斜方向上)。
在实施例中,目标的测量准确度和/或敏感度可以相对于提供至目标上的辐射束的一个或更多个性质而变化,所述性质例如是辐射束的波长、辐射束的偏振、辐射束的强度分布(即,角强度分布或空间强度分布)等。因此,可以选择期望地获得例如目标的良好测量准确度和/或敏感度的特定测量策略。
为了监测包括至少一个图案转移步骤(例如,光学光刻步骤)的图案化过程(例如,器件制造过程),检测被图案化的衬底且测量/确定被图案化的衬底的一个或更多个参数。例如,所述一个或更多个参数可以包括:例如形成在被图案化的衬底中或其上的连续层之间的重叠、例如形成在被图案化的衬底中或其上的特征的临界尺寸(CD)(例如,临界线宽)、光学光刻步骤的聚焦或聚焦误差、光学光刻步骤的剂量或剂量误差、光学光刻步骤的光学像差、放置误差(例如,边缘放置误差)等。可以对产品衬底自身的目标和/或对在衬底上提供的专用量测目标执行这种测量。可以在抗蚀剂显影后但在蚀刻前执行测量,或可以在蚀刻后执行测量。
存在用于对在图案化过程中形成的结构进行测量的各种技术,包括使用扫描电子显微镜、基于图像的测量工具和/或各种专用工具。如上文论述的,快速和非侵入性形式的专用测量工具为其中将辐射束引导至衬底的表面上的目标上且测量被散射的(被衍射的/被反射的)束的属性的量测工具。通过评估由衬底散射的辐射的一个或更多个属性,可以确定衬底的一个或更多个属性。这可以被称为基于衍射的量测。这种基于衍射的量测的一个这样的应用是用在目标内的特征不对称性的测量中。这种特征不对称性的测量可以用作例如重叠的量度,但其它应用也是已知的。例如,可以通过比较衍射光谱的相对部分(例如,比较周期性光栅的衍射光谱中的-1阶与+1阶)来测量不对称性。这种测量可以如以上描述的那样来完成,并且如例如全文以引用方式并入本文中的美国专利申请公开出版物US2006-066855中描述的那样来完成。基于衍射的量测的另一应用是用在目标内的特征宽度(CD)的测量中。这样的技术可以使用上文关于图6至图9描述的设备和方法。
现在,虽然这些技术是有效的,但需要提供导出目标内的特征不对称性(诸如重叠、CD不对称性、侧壁角不对称性等)的替代的测量技术。这种技术对于被专门设计的量测目标来说可能是有效的或对于直接确定器件图案上的特征不对称性来说可能更显著。
参考图10,在重叠实施例的内容背景下描述这种测量技术的原理。在图10A中,示出目标T的几何学上对称的单位单元。目标T可以仅仅包括单位单元的单个实体实例或可以包括单位单元的多个实体实例,如图10C所示。
目标T可以是被专门设计的目标。在实施例中,目标用于划线。在实施例中,目标可以是管芯内目标,即,目标在器件图案之中(且因此在划线之间)。在实施例中,目标可以具有与器件图案特征相当的特征宽度或节距。例如,目标特征宽度或节距可以小于或等于器件图案的最小特征尺寸或节距的300%、小于或等于器件图案的最小特征尺寸或节距的200%、小于或等于器件图案的最小特征尺寸或节距的150%、或小于或等于器件图案的最小特征尺寸或节距的100%。
目标T可以是器件结构。例如,目标T可以是存储器装置(其常常具有几何学上对称的或可以在几何学上对称的一个或更多个结构,如以下进一步论述的)的一部分。
在实施例中,目标T或单位单元的实体实例可以具有小于或等于2400平方微米的面积、小于或等于2000平方微米的面积、小于或等于1500平方微米的面积、小于或等于1000平方微米的面积、小于或等于400平方微米的面积、小于或等于200平方微米的面积、小于或等于100平方微米的面积、小于或等于50平方微米的面积、小于或等于25平方微米的面积、小于或等于10平方微米的面积、小于或等于5平方微米的面积、小于或等于1平方微米的面积、小于或等于0.5平方微米的面积,或小于或等于0.1平方微米的面积。在实施例中,目标T或单位单元的实体实例具有平行于衬底的平面的、小于或等于50微米、小于或等于30微米、小于或等于20微米、小于或等于15微米、小于或等于10微米、小于或等于5微米、小于或等于3微米、小于或等于1微米、小于或等于0.5微米、小于或等于0.2微米或小于或等于0.1微米的横截面尺寸。
在实施例中,目标T或单位单元的实体实例具有小于或等于5微米、小于或等于2微米、小于或等于1微米、小于或等于500纳米、小于或等于400纳米、小于或等于300纳米、小于或等于200纳米、小于或等于150纳米、小于或等于100纳米、小于或等于75纳米、小于或等于50纳米、小于或等于32纳米、小于或等于22纳米、小于或等于16纳米、小于或等于10纳米、小于或等于7纳米、或小于或等于5纳米的结构节距。
在实施例中,目标T具有单位单元的多个实体实例。因此,目标T典型地可以具有这里列出的较高尺寸,而单位单元的实体实例将具有这里列出的较低尺寸。在实施例中,目标T包括单位单元的50000个或更多个实体实例、单位单元的25000个或更多个实体实例、单位单元的15000个或更多个实体实例、单位单元的10000个或更多个实体实例、单位单元的5000个或更多个实体实例、单位单元的1000个或更多个实体实例、单位单元的500个或更多个实体实例、单位单元的200个或更多个实体实例、单位单元的100个或更多个实体实例、单位单元的50个或更多个实体实例,或单位单元的10个或更多个实体实例。
期望地,单位单元的所述实体实例或单位单元的所述多个实体实例共同地填充量测设备的束斑。在这种情况下,所测量的结果基本上仅包括来自单位单元的实体实例(或其多个实例)的信息。在实施例中,束斑具有为50微米或更小、40微米或更小、30微米或更小、20微米或更小、15微米或更小、10微米或更小、5微米或更小,或2微米或更小的横截面宽度。
图10A中的单位单元包括被实体地实例化在衬底上或将要被实体地实例化在衬底上的至少两个结构。第一结构1000包括线且第二结构1005包括椭圆型形状。当然,第一结构1000和第二结构1005可以是与描绘的结构不同的结构。
另外,在这种示例中,在第一结构1000与第二结构1005之间可以存在从其预期位置偏离的相对移位,这是由于其分离地转移至衬底上从而具有重叠的误差。在这种示例中,第一结构1000相比于第二结构1005位于衬底上的较高层中。因此,在实施例中,可以在图案化过程的第一次执行中在较低的第一层中产生第二结构1005,并且可以在图案化过程的第二次执行中在相比较低的第一层较高的第二层中产生第一结构1000。现在,没有必要使第一结构1000和第二结构1005位于不同的层中。例如,在双重图案化过程(包括例如作为所述双重图案化过程的部分的蚀刻过程)中,可以在同一层中产生第一结构1000和第二结构1005以形成基本上单个图案,但依据所述第一结构1000和第二结构1005在所述同一层内的相对放置,仍可能存在“重叠”关注问题。在这种单个层示例中,第一结构1000和第二结构1005两者都可以具有例如类似于图10A中针对第一结构1000示出的线的形式,但已经通过第一图案转移过程而在衬底上提供的第二结构1005的线可以与在第二图案转移过程中提供的结构1000的线交错。
显著地,单位单元具有或能够具有关于轴线或点的几何对称性。例如,图10A中的单位单元具有关于例如轴线1010的反射对称性和关于例如点1015的点/旋转对称性。类似地,可以看到,图10C中的单位单元的实体实例(且因此,单位单元的实体实例的组合)具有几何对称性。
在实施例中,单位单元具有关于某一特征(诸如重叠)的几何对称性。本文中的实施例聚焦于这样的单位单元:当所述单位单元在几何学上对称时,具有零重叠。然而,替代地,单位单元针对某一几何不对称性可以具有零重叠。将接着使用适当的偏移和计算来考虑当单位单元具有某一几何不对称性时具有零重叠的所述单位单元。有针对性地,单位单元应能够依赖于某个特征值而在对称性上改变(例如,变成不对称的,或变得进一步不对称,或从不对称情形变得对称)。
在图10A的示例中,单位单元具有针对零重叠(但其无需为零重叠)的几何对称性。这由箭头1020和1025表示,图10A示出第一结构1000的线相对于第二结构1005的椭圆型形状被均匀地对准(且所述均匀对准至少部分地使单位单元能够具有几何对称性,如图10A所示)。因此,在这种示例中,当单位单元具有几何对称性时,存在零重叠。然而,当存在重叠的误差(例如,非零重叠)时,单位单元不再在几何学上对称且按照定义,目标不再在几何学上对称。
另外,在目标包括单位的多个实体实例的情况下,该单位单元的所述实例被周期性地布置。在实施例中,单位单元的所述实例以晶格形式布置。在实施例中,所述周期性布置具有在目标内的几何对称性。
因此,在这项技术中,如下文进一步论述的,利用与感兴趣的特征不对称性(例如,非零重叠)相关的几何对称性的改变(例如,几何不对称性的改变,或另外的几何不对称性的改变,或从几何不对称性至几何对称性的改变)以能够确定特征不对称性(例如,非零重叠)。
可以使用例如图7的量测设备、利用辐射来照射包括图10A的单位单元的实体实例的目标。可以例如通过检测器190测量被目标改变方向的辐射。在实施例中,测量被改变方向后的辐射的光瞳,即,傅立叶变换平面。这种光瞳的示例测量的结果被描绘为光瞳图像1030。虽然光瞳图像1030具有金刚石型形状,但其无需具有这种形状。本文中的术语光瞳和光瞳平面包括其任何共轭物,除非上下文另有要求(例如,在特定光学系统的光瞳平面正被识别的情况下)。光瞳图像1030实际上为依据被改变方向后的辐射的光瞳的光学特性(在这种情况下为强度)而指定的图像。
为了方便,本文中的论述将聚焦于强度(作为感兴趣的光学特性)。但本文中的技术可以用于一个或更多个替代的或额外的光学特性(诸如相位和/或反射率)。
另外,为了方便,本文中的论述聚焦于检测和处理被改变方向后的辐射的图像(特别是光瞳图像)。然而,可以以与图像不同的方式来测量和表示被改变方向后的辐射的光学属性。例如,可以依据一个或更多个光谱(例如,作为波长的函数的强度)来处理被改变方向后的辐射。因此,被改变方向后的辐射的被检测到的图像可以被认为是被改变方向后的辐射的光学表示的示例。因此,在光瞳平面图像的情况下,光瞳图像为光瞳表示的示例。
另外,被改变方向后的辐射可以是偏振的或非偏振的。在实施例中,测量束辐射是偏振辐射。在实施例中,测量束辐射被线性地偏振。
在实施例中,光瞳表示主要或大体上具有来自目标的被改变方向后的辐射的一个衍射阶。例如,该辐射可以是辐射的特定阶的80%或更多、85%或更多、90%或更多、95%或更多、98%或更多、或99%或更多。在实施例中,光瞳表示主要或大体上具有零阶的被改变方向后的辐射。例如在目标的节距、测量辐射的波长和(可选地)一个或更多个其它条件使得目标主要改变方向零阶时可能出现这种辐射(但可能存在一个或更多个较高阶的辐射)。在实施例中,大部分光瞳表示为零阶的被改变方向后的辐射。在实施例中,光瞳表示具有零阶辐射且分别具有1阶辐射,其可以接着被线性组合(叠加)。图7中的孔186可以用于选择辐射的特定阶,例如零阶。
关于与第一结构1000和第二结构1005的在几何学上对称的单位单元相对应的光瞳图像1030,可以看到,所述光瞳图像内的强度分布基本上对称(例如,具有与所述几何结构相同的对称性类型)。这通过从光瞳图像1030移除对称强度分布部分(这产生被导出的光瞳图像1035)来进一步确认。为了移除对称强度分布部分,特定光瞳图像像素(例如,一像素)可以具有对称强度分布部分,可以通过从所述特定光瞳图像像素处的强度减去被对称地定位的光瞳图像像素的强度从而移除所述对称强度分布部分,反之亦然。在实施例中,所述像素可以对应于检测器(例如检测器190)的像素,但无需这样;例如,光瞳图像像素可以是检测器的多个像素。在实施例中,对称点或对称轴(横跨所述对称点或对称轴减去像素强度)对应于单位单元的对称点或对称轴。因此,例如,在考虑光瞳图像1030的情况下,可以通过例如从示出的所述特定像素处的强度Ii减去来自被对称地定位(即,关于轴线1032对称地定位)的像素的强度Ii’来移除对称强度分布部分。因此,在对称强度部分被移除的情况下在特定像素处的强度Si则为Si=Ii-Ii’。这可以针对光瞳图像的多个像素(例如,光瞳图像中的所有像素)被重复。如在被导出的光瞳图像1035中看到的,与对称单位单元相对应的强度分布基本上完全对称。因此,具有对称单位单元几何形状(并且如果适用,具有所述单位单元的实例的某一周期性)的对称目标产生如通过量测设备测量的对称光瞳响应。
现在参考图10B,关于图10A中描绘的单位单元来描绘重叠的误差的示例。在这种情况下,第一结构1000相对于第二结构1005在X方向上移位。特别地,以第一结构1000的线为中心的轴线1010在图10B中已经被向右移位至轴线1045。因此,存在在X方向上的重叠误差1040;即,X方向重叠误差。当然,第二结构1005可以相对于第一结构1000移位,或第二结构1005与第一结构1000两者可以相对于彼此移位。在任何情况下,结果都为X方向重叠误差。然而,如根据这种单位单元布置应了解的,第一结构1000与第二结构1005之间的在Y方向上的纯粹相对移位将不改变这种单位单元的几何对称性。但在适当的几何布置的情况下,在两个方向上或在单位单元的多个部分的不同组合之间的重叠可能改变对称性并且所述重叠也可以被确定,如下文进一步论述的。
由于单位单元的实体配置从图10A中的单位单元的名义实体配置改变且所述改变由重叠误差1040表示,因此导致所述单位单元变得在几何学上不对称。这可以通过具有不同长度的箭头1050和1055看到,所述箭头1050和1055示出第二结构1005的椭圆型形状相对于第一结构1000的线被不均匀地定位。检查关于光瞳图像1030的对称点或对称轴的对称性,即,在所述情况下,轴线1032现在被示出为轴线1034。
可以使用例如图7的量测设备、利用辐射来照射图10B的单位单元的实体实例。可以例如通过检测器190记录被改变方向后的辐射的光瞳图像。这种光瞳图像的示例被描绘为光瞳图像1060。光瞳图像1060实际上为强度的图像。虽然光瞳图像1060具有金刚石型形状,但其无需具有这种形状;其可以是圆形形状或任何其它形状。此外,光瞳图像1060具有与光瞳图像1030大体上相同的轴线或坐标部位。即,在该实施例中,图10A的单位单元中的对称轴1010和图10B的单位单元中的同一对称轴与光瞳图像1030、1060的对称轴1032对准。
对于与第一结构1000和第二结构1005的在几何学上不对称的单位单元相对应的光瞳图像1060,在视觉上似乎是所述光瞳图像内的强度分布基本上对称。然而,在所述光瞳图像内存在不对称强度分布部分。这种不对称强度分布部分是由单位单元中的不对称性引起的。此外,不对称强度分布的量值显著低于光瞳图像中的对称强度分布部分的量值。
因此,在实施例中,为了更有效地隔离不对称强度分布部分,可以从光瞳图像1060中移除对称强度分布部分,这产生被导出的光瞳图像1065。与获得被导出的光瞳图像1035的情况类似,特定光瞳图像像素(例如,一像素)可以具有对称强度分布部分,通过从所述特定光瞳图像像素处的强度减去被对称定位的光瞳图像像素的强度从而移除所述对称强度分布部分,反之亦然,如上文论述的。因此,例如,在考虑光瞳图像1060的情况下,可以通过例如从所示出的所述特定像素处的强度Ii减去来自被对称地定位(即,关于轴线1032对称地定位)的像素的强度Ii’以产生Si,从而移除所述对称强度分布部分。这可以针对光瞳图像的多个像素(例如,光瞳图像中的所有像素)被重复。在图10A和图10B中,出于解释目的而描绘Si的被完全导出的光瞳图像。如应了解的,图10A或图10B的被导出的光瞳图像的一半与其另一半相同。因此,在实施例中,来自光瞳图像的仅一半的值可以用于本文中论述的进一步处理,因此,用于本文中的进一步处理的被导出图像光瞳可以是用于光瞳的Si值的仅一半。
如在被导出的光瞳图像1065中看到的,使用不对称单位单元的实体实例测量的强度分布不是对称的。如在区1075和1080中看到的,一旦移除对称强度分布部分,就可以看到不对称强度分布部分。如上文提及的,示出被完全导出的光瞳图像1065,因此,在两个半边上示出不对称强度分布部分(尽管依据在这两个强度分布部分的对应的半边中的量值和分布,这两个强度分布部分彼此相等)。
因此,几何域中的不对称性对应于光瞳中的不对称性。因此,在实施例中,提供一种使用周期性目标的光学响应的方法,所述周期性目标具有或能够具有在其单位单元的实体实例中的固有几何对称性以确定与实体配置改变相对应的参数,所述实体配置改变造成所述单位单元的实体实例的几何对称性的改变(例如,造成不对称性,或造成进一步的不对称性,或造成不对称单位单元变得对称)。具体地,在实施例中,如由量测设备测量的光瞳中的重叠诱发的不对称性(或无重叠诱发的不对称性)可以被用于确定重叠。即,光瞳不对称性被用于测量单位单元的实体实例内并且因此目标内的重叠。
由于第一结构1000和第二结构1005从其预期位置偏离的相对移位而可能引起目标T的几何域中的对称性变化。由于用于形成第一结构1000的图案化过程和用于形成第二结构1005的图案化过程之间的重叠误差而可能发生该相对移位。
图11描绘了位于围绕器件区域70的划线道72中的示例目标T(被描绘为圆形特征)。器件区域70是被配置成包括与待制造的产品相对应的器件结构的区域。将目标T定位在划线道72中允许使目标T相对较大。在期望在衬底W上以较高的空间密度执行量测测量的情况下,可能需要将目标T定位在除划线道72之外的其它部位处。例如,在使用目标T的测量实现高阶校正的情况下,这可能是必要的。例如,在器件区域70内定位目标时,这可能是必要的。用于单个目标T的在除划线道72以外的部位处的可用空间可能要求目标T非常小。目标T可以小于10×10μm2,例如可选地约为5×5μm2
对于较小的目标T(例如5×5μm2的目标),可以通过对目标T的由量测设备进行观察到的图像执行图案识别来实现量测过程的辐射斑与目标T的对准。这可以通过首先朝向目标T的总体区域执行相对较大的跳跃来完成(例如,基于较大目标的位置)。图案识别过程用于识别目标T的精确位置。然后执行较小的跳跃以使辐射斑尽可能紧密地对准目标T。已证明针对较小的目标实现具有期望准确度的对准具有挑战性。辐射斑和目标T之间的对准不良可能导致目标T外部的区域在较大程度上对通过量测过程测量的信号作出贡献,从而引起误差。
图案识别过程不能总是可靠地将目标T与围绕目标的区域(其周围物)区分开。这意味着在执行对准时,图案识别过程需要考虑围绕目标T的区域的属性。这意味着图案识别过程取决于位置,并且需要多个图案识别方案来处理整个衬底的测量。该需求显著地使整个量测过程复杂化。较大跳跃-图案识别-较小跳跃的顺序是耗时的。
聚焦的变化限定辐射斑的尺寸,并且还可能影响目标外部的区域对信号作出贡献的程度。
本公开的实施例旨在至少部分地解决与对准和/或聚焦有关的上述问题中的一个或更多个。
图12示意性地描绘了获得用于优化量测过程的测量数据310的方法。该方法的起点和终点分别标记为S和E。
在步骤S1中,将量测过程应用于衬底W上的第一目标T。该量测过程包括利用辐射斑照射第一目标T并检测由第一目标T改变方向后的辐射。该方法包括对第一目标T进行的量测过程的多次应用。因此,第一目标T被多次测量。在一些实施例中,量测过程的应用包括在辐射斑相对于第一目标T的多个不同位置处(对应于不同对准)的应用。在一些实施例中,量测过程的应用包括在辐射斑的多个不同聚焦高度处的应用。在一些实施例中,量测过程的应用包括在多个不同位置处和多个不同聚焦高度处的应用。
可以通过控制回路来实现量测过程的多次应用,在该控制回路中,判定步骤S2用于确定是否已经完成量测过程的应用的计划顺序。判定步骤S2使该方法循环通过量测过程设置调整步骤S3和步骤S1中的量测过程的应用,直到已经完成步骤S1中的所有所需的量测过程的应用。量测过程设置调整步骤S3可以包括调整量测过程,使得例如调整辐射斑的名义对准和/或聚焦高度。量测过程设置调整步骤S3还可以被配置成调整衬底W的旋转位置。在实施例中,对于一个或更多个(或所有)对准和/或聚焦设置中的每一个,在彼此相隔180度的两个角度位置处执行量测过程。该方法减少了由量测设备中(例如光学器件和/或传感器中)的不对称性对检测到的光瞳表示中的不对称性作出的贡献。这种不对称性可以被称为传感器不对称性。当步骤S1中的所有所需的量测过程的应用都已完成时,判定步骤S2将该方法引导至步骤S4。步骤S4包括输出测量数据310。在步骤S4中输出的测量数据310可以被存储或直接传输至其它数据处理设备。
在步骤S1中的量测过程的每次应用中,可以将辐射引导至目标T上,并且如以上参考图7至图10C所述的来检测被改变方向后的辐射。如以上参考图7至图10C所描述的,检测到的被改变方向后的辐射可以包括辐射的光学特性在光瞳平面中的光瞳表示。因此,针对步骤S1中的量测过程的每次应用,测量数据310可以包括被改变方向后的辐射的光学特性在光瞳平面中的检测到的光瞳表示。因此,测量数据310可以包括在不同的名义对准和/或不同的名义聚焦高度下获得的检测到的多个光瞳表示。光学特性可以包括辐射强度或相位。在光学特性包括辐射强度的情况下,检测到的光瞳表示可以被称为光瞳图像。参考图7描述的类型的量测设备可以例如用于执行量测过程。如上所述,辐射的检测到的光瞳表示可以主要包括零阶辐射。在目标T包括诸如器件结构之类的高分辨率目标的情况下,这可能是特别期望的。因此,在实施例中,目标T包括器件结构。在其它实施例中,在被配置成包括或包含器件结构的器件区域70(参见图11)内,目标T包括非器件结构。
图13示意性地描绘了优化量测过程的方法。该方法的起点和终点分别标记为S和E。在步骤S11中,该方法包括获得测量数据310和参考光瞳表示312。测量数据310是根据对目标T进行的量测过程的多次应用导出的。在实施例中,在视频模式下使用传感器来执行量测过程的多次应用。在其它实施例中,量测过程的多次应用包括单独的离散测量(即,不在视频模式下)。在实施例中,使用以上参考图12描述的方法来产生测量数据310。因此,针对量测过程的每次应用,测量数据310可以包括被改变方向后的辐射的光学特性在光瞳平面中的检测到的光瞳表示。稍后给出关于可以如何产生或获得参考光瞳表示312的细节。
在步骤S12中,确定最佳对准、最佳聚焦高度,或确定最佳对准和最佳聚焦高度两者。在采取步骤以调整这些参数从而相对于未采用优化的情况改进量测过程的性能的意义上,对对准和/或聚焦高度进行优化。在可能无法获得进一步改进的意义上,术语“最佳”不要求绝对的最佳状态。
在实施例中,在步骤S12中通过将测量数据310中的检测到的光瞳表示中的每一个光瞳表示与参考光瞳表示312进行比较来优化对准和/或聚焦高度。所述比较可以例如旨在识别哪个检测到的光瞳表示与参考光瞳表示312最相似。与参考光瞳表示312的相似性可以与相对于最佳对准和/或最佳聚焦的接近度相关联。在实施例中,与被确定为与参考光瞳表示最相似的检测到的光瞳表示相关联的对准被确定为最佳对准。在实施例中,与被确定为与参考光瞳表示最相似的检测到的光瞳表示相关联的聚焦高度被确定为最佳聚焦高度。
在实施例中,检测到的光瞳表示和参考光瞳表示之间的比较包括计算每个检测到的光瞳表示和参考光瞳表示之间的相似度。已知用于确定不同实体之间的相似度的各种数学技术。相似性有时被称为相关性。在实施例中,描述每个检测到的光瞳表示和参考光瞳表示之间的互相关性的相关性响应用于提供相似度的量化测量。在一些实施例中,可以使用回归技术的皮尔逊(Pearson)相关性或R平方(Rsquared)来表示相似度。
图14描绘了针对多个不同的对准和聚焦高度获得的检测到的光瞳表示320的示例集合。在该示例中,如箭头322示意性所示的,对准沿着每一行变化。因此,在任意给定行中的每个检测到的光瞳表示320表示针对辐射斑相对于正被测量的第一目标T的不同对准而通过量测过程获得的检测到的光瞳表示。不同的对准例如可以表示沿着X方向、沿着Y方向或沿着X方向和Y方向两者的不同位置,其中X和Y方向与平行于衬底W的平面的XY平面中的正交方向相对应,在该衬底W上形成第一目标T。在该示例中,如箭头324示意性所示的,聚焦高度沿着每一列变化。因此,每一行对应于在相同的名义聚焦高度但不同的对准处执行的一组测量。
图15示意性地描绘了表示检测到的光瞳表示和参考光瞳表示之间的相似度(例如,互相关性)的参数Corr随对准和/或聚焦(Pos/F)的变化。为了清楚起见,以一维示出了该变化,但应当理解,该变化通常可以是多变量的,例如依赖于X方向上的位置、Y方向上的位置以及聚焦高度(其可以被认为是沿着与X和Y方向垂直的Z方向的位置)。确定最佳对准和/或最佳聚焦高度可以包括确定相似性或相关性最大的情况。可以使用任何适当的优化程序来定位最大值。在所示的示例中,最大相似性或相关性发生在位置MAX处,因此可以将该位置MAX视为最佳对准和/或最佳聚焦高度。在点MAX处的Corr的值不必为1(表示检测到的光瞳表示和参考光瞳表示之间的理想匹配)。
可以以各种方式产生参考光瞳表示。
在一些实施例中,使用来自第一目标T的辐射的改变方向的模拟来产生参考光瞳表示。该模拟的光瞳表示可以被称为合成光瞳表示或合成光瞳图像。在一些实施例中,模拟基于使用例如数值麦克斯韦求解器210的参数化数学模型206,如上文参考图9所描述的。因此,模拟可以基于第一目标T的数学模型。模拟可以基于辐射斑相对于第一目标T的理想对准和/或聚焦高度。
在一些实施例中,使用通过量测过程的先前应用而获得的检测到的光瞳表示来产生参考光瞳表示。可以以(如使用根据本公开的实施例的方法或使用其它方法确定的)最佳对准和/或最佳聚焦高度执行量测过程的先前应用。
在一些实施例中,量测过程的先前应用包括对第二目标进行的量测过程的应用,该第二目标大于第一目标。由于针对相对较大目标的较小目标,来自目标外部的区域的影响增大,因此随着目标尺寸减小,辐射斑相对于目标T的中心的对准不良的影响变得更大。因此,对于给定的对准不良程度和/或聚焦误差,相比对较小的第一目标T应用量测过程所实现的,对较大的第二目标T应用量测过程更易于产生与理想对准和/或理想聚焦高度所预期的检测到的光瞳表示更接近的检测到的光瞳表示。通过使用第二目标来提供参考光瞳表示,并根据第一目标选择最类似于参考光瞳表示的检测到的光瞳表示,本公开的实施例至少部分地克服了与对较小目标执行量测相关联的一些挑战。
在实施例中,第一目标T和第二目标T分别包括由在至少一个方向上具有相同周期性的单位单元限定的周期性结构。在一些实施例中,第二目标T的单位单元与第一目标T的单位单元相同,例如具有层的相同顺序和在所有方向上的相同周期性。因此,第一目标和第二目标可以仅在目标T中存在的单位单元的数量上不同。
在实施例中,衬底W包括被配置成包括器件结构的一个或更多个器件区域70(如图11所示)以及位于器件区域70外部的一个或更多个划线道72。在这样的实施例中,第一目标T可以位于器件区域中的一个器件区域(未示出)中,并且第二目标T可以设置在划线道72中的一个划线道(在其中存在空间以容纳较大的目标)中。在实施例中,第一目标T等于或小于约5×5μm2,并且第二目标T等于或大于约8×8μm2(例如,约10×10μm2)。在实施例中,在多个场中提供器件区域70,其中每个场具有与该场相关联的一个或更多个第二目标T。在这样的实施例中,对于两个或更多个所述场中的每一个场,对应于该场的一个或更多个第二目标T用于产生参考光瞳表示,以确定针对在该场中测量的第一目标T中的一个或更多个(或全部)第一目标的最佳对准和/或最佳聚焦高度。通过使用局部第二目标T来为每个场提供参考光瞳表示并在场与场之间更新参考光瞳表示,可以减少与横跨衬底W的过程变化相关联的误差。
在一些实施例中,使用基于量测过程的在不同对准和聚焦高度下的多次先前应用的机器学习来产生参考光瞳表示。
基于检测到的光瞳表示与参考光瞳表示312之间的比较来确定最佳对准和/或聚焦高度的新颖性方法使得可以可靠地实现辐射斑的准确对准和/或聚焦,而不必例如使用复杂的图案识别技术来获得和/或分析辐射斑在第一目标上的图像。因此,该方法可以提高产生量和/或避免与图案识别相关联的数据处理要求、时间要求和/或性能缺陷。该方法允许相比现有技术方法更准确地设置对准和/或聚焦,从而减少来自较小目标的外部区域对信号质量的负面影响。该方法还使得可以使用用于获得感兴趣的参数(例如,重叠、CD等)的值的相同传感器和/或辐射波长来确定对准和/或聚焦,这也可以对提高准确度和/或生产量作出贡献。例如,不再需要具有专用的测量和随后的图案识别过程来确定对准。此外,使来自光瞳平面的信息的统一处理成为可能。例如,这意味着可以使用与获得感兴趣的参数(例如,重叠、CD等)的值的推断有关的相同设置(例如,辐射斑参数、偏振、波长等)来执行对准和/或聚焦高度优化。因此,所识别出的最佳对准和/或最佳聚焦高度可以例如用于最小化推断误差,从而减少基于所确定的最佳对准和/或最佳聚焦高度而获得的感兴趣的参数的值的误差。在已知远离最佳对准和/或最佳聚焦高度的对准和/或聚焦高度处获得的检测到的光瞳表示可以在所述推断中被使用,以提供关于位于第一目标T的外部区域中的结构的信息,从而进一步改进该推断。
在实施例中,在不同位置和/或不同聚焦高度处进行的测量被用于量化对对准和/或聚焦高度误差的敏感度。可以例如通过量化检测到的光瞳表示与参考光瞳表示之间的相似度Corr(参见图15)远离最佳对准和/或最佳聚焦高度变化的迅速程度来执行敏感度的这种量化。当如图15所示的可视化时,敏感度可以与最大MAX(其例如可以通过Corr相对于Pos/F的二阶导数进行量化)处的Corr的曲率有关。
在实施例中,在步骤S12中确定的最佳对准和/或最佳聚焦高度作为设置数据314被输出。设置数据314可以用于控制随后的量测过程以名义上在最佳对准和/或最佳聚焦高度下操作。因此,可以在随后的量测过程中更可靠和/或更准确地获得感兴趣的参数(例如,重叠、CD)的值。设置数据314可以用于提供控制信号,该控制信号控制量测设备的与对准和/或聚焦高度有关的一个或更多个操作参数。该控制信号可以被馈送到例如图7的量测设备中的处理器PU。
在其它实施例中,与在步骤S12中确定的最佳对准和/或最佳聚焦高度相对应的检测到的光瞳表示自身可以在步骤S13中被分析,以获得感兴趣的参数(例如,重叠、CD)的值。因此,相同的测量数据既用于确定最佳对准和/或最佳聚焦高度,又用于基于所确定的最佳对准和/或最佳聚焦高度来计算感兴趣的参数的值。所获得的感兴趣的参数的值可以作为输出数据316被输出。
在实施例中,将该方法应用于衬底T上的不同部位处的多个第一目标T中的每个第一目标。然后,当将该方法应用于第一目标中的至少一个第一目标时所使用的辐射斑的多个位置是使用通过将该方法应用于第一目标中的至少另一个第一目标而获得的最佳对准来被选择的。在实施例中,所述多个位置被选择以接近(例如,居中于)通过将该方法应用于至少另一个第一目标T而获得的最佳对准。因此,该方法可以利用该方法的先前应用以开始更接近最佳对准的优化过程。因此,可以减少在优化过程中要考虑的对准范围,从而提高速度和/或允许在可能接近最佳对准的对准处花费更多的时间进行测量。
参考图16,示出了计算机系统3200。计算机系统3200包括用于通信信息的总线3202或其它通信机构,以及与总线3202耦接以用于处理信息的处理器3204(或多个处理器3204和3205)。计算机系统3200还包括耦接至总线3202以用于储存待由处理器3204执行的指令和信息的主存储器3206,诸如随机存取存储器(RAM)或其它动态存储装置。主存储器3206还可以用于在待由处理器3204执行的指令的执行期间储存暂时性变量或其它中间信息。计算机系统3200还包括耦接至总线3202以用于储存用于处理器3204的静态信息和指令的只读存储器(ROM)3208或其它静态存储装置。提供诸如磁盘或光盘之类的存储装置3210,并且所述存储装置耦接至总线3202以用于储存信息和指令。
计算机系统3200可以经由总线3202耦接至用于向计算机用户显示信息的显示器3212,诸如阴极射线管(CRT)或平板显示器或触摸面板显示器。包括字母数字按键和其它按键的输入装置3214耦接至总线3202以用于将信息和命令选择通信至处理器3204。另一类型的用户输入装置为用于将方向信息和命令选择通信至处理器3204且用于控制显示器3212上的光标移动的光标控制件3216,诸如鼠标、轨迹球或光标方向键。这种输入装置典型地具有在两个轴(第一轴(例如,x)和第二轴(例如,y))上的两个自由度,从而允许所述装置指定在平面中的位置。触摸面板(屏幕)显示器也可以被用作输入装置。
计算机系统3200可以适合于响应于处理器3204执行主存储器3206中包含的一个或更多个指令的一个或更多个序列而充当本文中的处理单元。可以将这些指令从另一计算机可读介质(诸如存储装置3210)读取至主存储器3206中。主存储器3206中包含的指令序列的执行使得处理器3204执行本文中描述的过程。也可以采用呈多处理布置的一个或更多个处理器来执行主存储器3206中所包含的指令序列。在替代实施例中,可以代替或结合软件指令而使用硬联机电路系统。因此,实施例不限于硬件电路系统与软件的任何特定组合。
如本文中所使用的术语“计算机可读介质”是指参与将指令提供至处理器3204以供执行的任何介质。这种介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如存储装置3210。易失性介质包括易失存储器,诸如主存储器3206。传输介质包括同轴缆线、铜线和光纤,包括包含总线3202的电线。传输介质也可以采取声波或光波的形式,诸如在射频(RF)和红外线(IR)数据通信期间产生的声波或光波。计算机可读介质的常见形式包括例如软盘、柔性磁盘、硬盘、磁带、任何其它磁性介质、CD-ROM、DVD、任何其它光学介质、打孔卡、纸带、具有孔图案的任何其它实体介质、RAM、PROM和EPROM、FLASH-EPROM、任何其它存储器芯片或卡匣、如下文描述的载波,或可以供计算机读取的任何其它介质。
在将一个或更多个指令的一个或更多个序列承载至处理器3204以供执行时可能涉及各种形式的计算机可读介质。例如,最初可以将所述指令承载在远程计算机的磁盘上。远程计算机可以将指令加载至其易失存储器中,并且使用调制解调器经由电话线来发送指令。在计算机系统3200本地的调制解调器可以接收电话线上的数据,并且使用红外线传输器将数据转换成红外信号。耦接至总线3202的红外检测器可以接收红外信号中所承载的数据且将数据放置在总线3202上。总线3202将数据承载至主存储器3206,处理器3204从所述主存储器3206获取并执行指令。由主存储器3206接收的指令可以可选地在由处理器3204执行之前或之后储存在存储装置3210上。
计算机系统3200也可以包括耦接至总线3202的通信接口3218。通信接口3218提供对网络链路3220的双向数据通信耦合,所述网络链路3220连接至局域网络3222。例如,通信接口3218可以是综合业务数字网(ISDN)卡或调制解调器以提供对对应类型的电话线的数据通信连接。作为另一示例,通信接口3218可以是局域网络(LAN)卡以提供对兼容LAN的数据通信连接。也可以实施无线链路。在任何这样的实施方式中,通信接口3218发送且接收承载表示各种类型的信息的数字数据流的电信号、电磁信号或光学信号。
网络链路3220典型地经由一个或更多个网络将数据通信提供至其它数据装置。例如,网络链路3220可以经由局域网络3222而向主计算机3224或向由因特网服务提供商(ISP)3226操作的数据装置提供连接。ISP 3226又经由全球封包数据通信网络(现在通常被称作“因特网”)3228而提供数据通信服务。局域网络3222和因特网3228两者都使用承载数字数据流的电信号、电磁信号或光学信号。经由各种网络的信号和在网络链路3220上且经由通信接口3218的信号(所述信号将数字数据承载至计算机系统3200和从计算机系统3200承载数字数据)是输送信息的示例性载波形式。
计算机系统3200可以经由网络、网络链路3220和通信接口3218发送消息和接收数据(包括程序代码)。在因特网示例中,服务器3230可以经由因特网3228、ISP 3226、局域网络3222和通信接口3218而传输用于应用程序的被请求的程序代码。根据一个或更多个实施例,这样一种下载的应用程序提供例如如本文中公开的方法。所接收的程序代码可以在其被接收时由处理器3204执行,和/或储存在存储装置3210或其它非易失性储存器中以供稍后执行。以这种方式,计算机系统3200可以获得呈载波形式的应用程序代码。
本公开的实施例可以采取如下形式:计算机程序,所述计算机程序包含描述如本文中公开的方法的机器可读指令的一个或更多个序列;或数据储存介质(例如,半导体存储器、磁盘或光盘),在所述数据储存介质中储存这样的计算机程序。另外,可以在两个或更多个计算机程序中体现机器可读指令。所述两个或更多个计算机程序可以储存在一个或更多个不同的存储器和/或数据储存介质上。
本文中描述的任何控制器都可以在一个或更多个计算机程序由位于光刻设备的至少一个部件内的一个或更多个计算机处理器读取时各自地或组合地可操作。所述控制器可以各自地或组合地具有用于接收、处理和发送信号的任何合适的配置。一个或更多个处理器被配置成与所述控制器中的至少一个控制器通信。例如,每个控制器可以包括用于执行包括用于上文描述的方法的机器可读指令的计算机程序的一个或更多个处理器。控制器可以包括用于储存这样的计算机程序的数据储存介质,和/或用于接纳这种介质的硬件。因此,所述控制器可以根据一个或更多个计算机程序的机器可读指令来操作。
尽管在本文中可以具体参考量测设备在IC制造中的使用,但应理解,本发明中描述的量测设备和过程可以具有其它应用,诸如集成光学系统的制造、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。本领域技术人员将了解,在这些替代应用的内容背景下,可以认为本文中对术语“晶片”或“管芯”的任何使用分别与更上位的术语“衬底”或“目标部分”同义。可以在曝光之前或之后在例如涂覆显影系统(典型地将抗蚀剂层施加至衬底且对曝光后的抗蚀剂进行显影的工具)、量测工具和/或一个或更多个各种其它工具中处理本文中提及的衬底。在适用的情况下,可以将本文中的公开内容应用至这样的衬底处理工具和其它衬底处理工具。此外,可以处理所述衬底一次以上,例如,以便产生多层IC,使得本文中使用的术语衬底也可以指已经包含多个被处理层的衬底。
尽管在上文可以具体参考本公开的实施例的在光学光刻术的内容背景下的使用,但应理解,本公开可以用于其它应用(例如,纳米压印光刻术)中,并且在内容背景允许的情况下不限于光学光刻术。在纳米压印光刻术的情况下,图案形成装置是压印模板或模具。
本发明中使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,包括紫外(UV)辐射(例如,具有为或为约365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外(EUV)辐射(例如,具有在5nm至20nm的范围内的波长);以及粒子束(诸如离子束或电子束)。
术语“透镜”在内容背景允许时可以指各种类型的光学元件中的任一光学元件或其组合,包括折射式、反射式、磁性式、电磁式和静电式光学元件。
本文中对越过或超过阈值的参考可以包括这样的事物:该事物的值低于具体值或低于或等于具体值、该事物的值高于具体值或者高于或等于具体值、该事物的基于例如参数而被排名(通过例如分类)成高于或低于其它事物,等等。
本文中对校正误差或误差的校正的参考包括消除误差或将误差减少至容许范围内。
如本文中所使用的术语“优化(“optimizing”和“optimization”)”是指或意味着调整光刻设备、图案化过程等,使得光刻或图案化处理的结果和/或过程具有较理想的特性,诸如设计布局在衬底上的较高的投影准确度、较大的过程窗等。因此,如本文中使用的术语“优化”是指或意味着识别用于一个或更多个变量的一个或更多个值的过程,所述一个或更多个值与用于那些一个或更多个变量的一个或更多个值的初始集合相比提供在至少一个相关指标方面的改善,例如局部最优。应相应地解释“最优”、“最佳”和其它相关术语。在实施例中,可以迭代地应用优化步骤,以提供一个或更多个指标的进一步改善。
在系统的优化过程中,可以将所述系统或过程的品质因数表示为成本函数。优化过程归结为寻找优化(例如,最小化或最大化)成本函数的系统或过程的参数(设计变量)的集合的过程。成本函数可以依赖于优化的目标而具有任何合适形式。例如,成本函数可以是系统或过程的某些特性(评估点)相对于这些特性的预期值(例如,理想值)的偏差的加权均方根(RMS);成本函数也可以是这些偏差的最大值(即,最差偏差)。本文中的术语“评估点”应被广泛地解释为包括系统或过程的任何特性。归因于系统或过程的实施的适用性,系统的设计变量可能被限于有限的范围和/或可能是相互依赖的。在光刻设备或图案化过程的情况下,约束常常与硬件的实体属性和特性(诸如可调节的范围和/或图案形成装置可制造性设计规则)相关联,并且评估点可以包括衬底上的抗蚀剂图像上的实体点,以及诸如剂量和聚焦之类的非实体特性。
虽然上文已描述本公开的特定实施例,但应了解,可以与描述的方式不同的其它方式来实践本公开。例如,本公开可以采取如下形式:计算机程序,所述计算机程序包含描述如上文公开的方法的机器可读指令的一个或更多个序列;或数据储存介质(例如,半导体存储器、磁盘或光盘),在所述数据储存介质中储存这样的计算机程序。
在框图中,图示的部件被描绘为离散的功能区块,但实施例不限于本文中描述的功能如图示得那样组织的系统。由部件中的每个部件提供的功能可以由软件或硬件模块提供,所述模块以与当前描绘的方式不同的方式组织,例如,可以(例如,在数据中心内或在地理位置上)被掺和、结合、复写、分解、分配,或以另外的不同方式组织这种软件或硬件。本文中描述的功能可以由执行储存在有形的非暂时性机器可读介质上的程序代码的一个或更多个计算机的一个或更多个处理器提供。在一些情况下,第三方内容分发网络可以是在网络上传送的一些或全部信息的主机,在这种情况下,在一定程度上,认为供应或以另外的方式提供信息(例如,内容),可以通过发送指令来从内容分发网络获取所述信息从而提供所述信息。
除非另有具体陈述,否则根据论述明白,应了解,在整个说明书中,利用诸如“处理”、“计算(“computing”、“calculating”)”、“确定”等术语的论述是指诸如专用计算机或类似的专用电子处理/计算装置之类的特定设备的动作或过程。
读者应了解,本申请描述若干发明。申请人已将这些发明分组成单个文件,而不是将那些发明分离成多个独立的专利申请,这是因为所述发明的相关主题可以在应用过程中有助于经济发展。但不应合并这些发明的不同优点和方面。在一些情况下,实施例解决本文中提及的所有不足,但应理解,所述发明是独立地有用的,并且一些实施例仅解决这些问题的子集或提供其它未提及的益处,检阅本公开的本领域技术人员将明白所述益处。归因于成本限制,目前可能不主张本文中公开的一些发明,并且可以在稍后的申请(诸如接续申请或通过修改本权利要求)中主张所述发明。类似地,归因于空间限制,本文献的摘要或发明内容章节都不应被视为包含所有这样的发明的全面列举或这样的发明的所有方面。
应理解,描述和附图并不意图将本发明限于公开的特定形式,而正相反,本发明意图涵盖属于如由所附权利要求限定的本发明的精神和范围的所有修改、等效物和替代方案。
考虑本说明书,本领域技术人员将明白本发明的各个方面的修改和替代实施例。因此,本说明书和附图应被理解为仅是说明性的且是出于教导本领域技术人员执行本发明的一般方式的目的。将理解,本文中示出和描述的本发明的形式将被视为实施例的示例。元件和材料可以替代本文中图示和描述的元件和材料,部件和过程可以被反转或被省略,可以独立地利用某些特征,可以组合实施例或实施例的特征,在获得本发明的本说明书的益处之后本领域技术人员将明白所有这些。可以在不背离如在以下权利要求中描述的本发明的精神和范围的情况下对本文中描述的元件作出改变。本文中使用的标题仅为了组织性目的,并且不意味着用于限制本说明书的范围。
在以下方面中进一步描述根据本发明的另外的实施例:
1、一种优化量测过程的方法,所述方法包括:
通过对衬底上的第一目标多次应用所述量测过程来获得测量数据,其中:
所述量测过程的每个应用包括利用辐射斑照射所述第一目标并检测由所述第一目标改变方向的辐射;
所述量测过程的应用包括以下应用中的任一种或两种:a)在所述辐射斑相对于所述第一目标的多个位置处的应用;和b)在所述辐射斑的多个聚焦高度处的应用;
针对所述量测过程的每个应用,所述测量数据包括被改变方向的辐射的光学特性在光瞳平面中的检测到的光瞳表示;并且
所述方法包括基于所述测量数据中的所述检测到的光瞳表示与参考光瞳表示之间的比较来确定最佳对准和最佳聚焦高度中的任一个或两个。
2、根据方面1所述的方法,其中执行以下操作中的任一个或两个:
将与被确定为与所述参考光瞳表示最相似的检测到的光瞳表示相关联的对准确定为所述最佳对准;以及
将与被确定为与所述参考光瞳表示最相似的检测到的光瞳表示相关联的聚焦高度确定为所述最佳聚焦高度。
3、根据方面1或2所述的方法,其中,所述检测到的光瞳表示和所述参考光瞳表示之间的所述比较包括计算每个检测到的光瞳表示和所述参考光瞳表示之间的相似度。
4、根据任一前述方面所述的方法,其中,使用对来自所述第一目标的辐射的改变方向的模拟来产生所述参考光瞳表示。
5、根据任一前述方面所述的方法,其中,使用通过所述量测过程的先前应用而获得的检测到的光瞳表示来产生所述参考光瞳表示。
6、根据方面5所述的方法,其中,所述量测过程的所述先前应用在最佳对准和最佳聚焦高度中的任一个情况或两个情况下被应用于第一目标。
7、根据方面5或6所述的方法,其中,所述量测过程的所述先前应用包括对第二目标进行的所述量测过程的应用,所述第二目标大于所述第一目标。
8、根据方面7所述的方法,其中,所述第一目标和所述第二目标分别包括由在至少一个方向上具有相同周期性的单位单元限定的周期性结构。
9、根据方面8所述的方法,其中,所述第一目标的所述单位单元与所述第二目标的所述单位单元相同。
10、根据方面7至9中任一项所述的方法,其中:
所述衬底包括被配置成包括器件结构的一个或更多个器件区域以及位于所述器件区域外部的一个或更多个划线道;并且
所述第一目标位于所述器件区域中的一个器件区域中,并且所述第二目标位于所述划线中的一个划线道中。
11、根据任一前述方面所述的方法,其中,使用基于所述量测过程的在不同对准处、在不同聚焦高度处、或在不同对准和不同聚焦高度处的多次先前应用的机器学习来产生所述参考光瞳表示。
12、根据任一前述方面所述的方法,其中:
所述方法被应用于位于不同部位处的多个第一目标中的每个第一目标;并且
当将所述方法应用于一个第一目标时所使用的所述辐射斑的多个位置是使用通过将所述方法应用于至少另一个第一目标而获得的最佳对准来被选择的。
13、根据任一前述方面所述的方法,还包括分析与通过根据方面l至12中任一项所述的方法确定的最佳对准和最佳聚焦高度中的任一个或两个对应的检测到的光瞳表示以获得感兴趣的参数的值。
14、根据方面13所述的方法,其中,所述感兴趣的参数包括以下中的一个或更多个:重叠、临界尺寸。
15、一种计算机程序产品,包括计算机非暂时性可读介质,所述计算机非暂时性可读介质上记录有指令,所述指令在由计算机执行时实施根据方面1至14中任一项所述的方法。
16、一种系统,包括:
计算机系统;以及
非暂时性计算机可读储存介质,所述非暂时性计算机可读储存介质被配置成储存机器可读指令,其中所述机器可读指令在被执行时使得所述计算机系统执行根据方面1至14中任一项所述的方法。
17、一种用于测量衬底上的目标的量测设备,所述量测设备被配置成执行根据方面1至14中任一项所述的方法。
18、一种系统,包括:
量测设备,所述量测设备被配置成将辐射束提供至衬底上并且检测由所述衬底上的目标改变方向的辐射;以及
根据方面15所述的计算机程序产品。
19、根据方面18所述的系统,还包括光刻设备,所述光刻设备包括:支撑结构,所述支撑结构被配置成保持用于对辐射束进行调制的图案形成装置;和投影光学系统,所述投影光学系统被布置成将调制后的辐射束投影至辐射敏感衬底上,其中所述光刻设备被配置成基于使用所述量测设备和所述计算机程序产品获得的信息来控制所述光刻设备的设定。
如在整个本申请中使用的,词语“可以”被用于许可性意义(即,意味着可能)而非强制性意义(即,意味着必须)。词语“包括(include/including/includes)”等等意味着包括(但不限于)。如在整个本申请中使用的,单数形式“(a/an)”和“所述(the)”包括复数形式的指代物,除非上下文另有明确地指示。因此,例如,对“元件”的参考包括两个或更多个元件的组合,尽管会针对一个或更多个元件使用其它术语和短语,诸如“一个或更多个”。除非另有指示,否则术语“或”是非排它性的,即,涵盖“和”与“或”两者。描述条件关系的术语,例如,“响应于X,则Y”、“在X后,即Y”、“如果X,则Y”、“当X时,Y”等等涵盖因果关系,其中前提是必要的因果条件,前提是充分的因果条件,或前提是结果的贡献因果条件,例如,“在条件Y获得后,即出现状态X”对于“仅在Y后,才出现X”和“在Y和Z后,即出现X”是通用的。这些条件关系不限于立即遵循前提而获得的结果,因为一些结果可能被延迟,并且在条件陈述中,前提与其结果关联,例如,前提与出现结果的可能性相关。除非另外指示,否则多个属性或功能被映射至多个物体(例如,执行步骤A、B、C和D的一个或更多个处理器)的陈述涵盖所有这些属性或功能被映射至所有这些物体和属性或功能的子集被映射至属性或功能的子集两者(例如,所有处理器每个都执行步骤A至D,并且其中处理器1执行步骤A,处理器2执行步骤B和步骤C的一部分,并且处理器3执行步骤C的一部分和步骤D的情况)。另外,除非另有指示,否则一个值或动作是“基于”另一条件或值的陈述涵盖条件或值是唯一因子的情况,和条件或值是多个因子之中的一个因子的情况两者。除非另外规定,否则某一集合体的“每个”实例具有某种属性的陈述不应被理解为排除较大集合的一些另外相同或相似的构件并不具有所述属性的情况,即,每个不一定意味着每个。
在某些美国专利、美国专利申请或其它材料(例如论文)已以引用方式并入的情况下,这些美国专利、美国专利申请和其它材料的文本仅这种材料与本文中所阐述的陈述和附图之间不存在冲突的情况下以引用的方式并入。在存在这种冲突的情况下,这种以引用方式并入的美国专利、美国专利申请和其它材料中的任何这样的冲突文本并不特定地以引用方式并入本文中。
以上描述旨在是说明性的而不是限制性的。因此,对于本领域技术人员来说显而易见的是,可以在不脱离以下所述权利要求的范围的情况下对所描述的本公开进行修改。

Claims (14)

1.一种优化量测过程的方法,所述方法包括:
通过对衬底上的第一目标多次应用所述量测过程来获得测量数据,其中:
所述量测过程的每次应用包括利用辐射斑照射所述第一目标并检测由所述第一目标改变方向的辐射;
所述量测过程的应用包括以下应用中的任一种或两种:a)在所述辐射斑相对于所述第一目标的多个位置处的应用;和b)在所述辐射斑的多个聚焦高度处的应用;
针对所述量测过程的每次应用,所述测量数据包括被改变方向的辐射的光学特性在光瞳平面中的检测到的光瞳表示;并且
所述方法包括基于所述测量数据中的所述检测到的光瞳表示与参考光瞳表示之间的比较来确定所述辐射斑的最佳对准和最佳聚焦高度中的任一个或两个。
2.根据权利要求1所述的方法,其中执行以下操作中的任一个或两个:
将与被确定为与所述参考光瞳表示最相似的所述检测到的光瞳表示相关联的对准确定为所述最佳对准;以及
将与被确定为与所述参考光瞳表示最相似的所述检测到的光瞳表示相关联的聚焦高度确定为所述最佳聚焦高度。
3.根据权利要求1或2所述的方法,其中,所述检测到的光瞳表示和所述参考光瞳表示之间的所述比较包括计算每个检测到的光瞳表示和所述参考光瞳表示之间的相似度。
4.根据任一前述权利要求所述的方法,其中,使用对来自所述第一目标的辐射的改变方向的模拟来产生所述参考光瞳表示。
5.根据任一前述权利要求中所述的方法,其中,使用通过所述量测过程的先前应用而获得的检测到的光瞳表示来产生所述参考光瞳表示。
6.根据权利要求5所述的方法,其中,所述量测过程的所述先前应用在最佳对准和最佳聚焦高度中的任一个情况或两个情况下被应用于第一目标。
7.根据权利要求5或6所述的方法,其中,所述量测过程的所述先前应用包括对第二目标进行的所述量测过程的应用,所述第二目标大于所述第一目标。
8.根据权利要求7所述的方法,其中,所述第一目标和所述第二目标中的每个包括由在至少一个方向上具有相同周期性的单位单元限定的周期性结构。
9.根据权利要求8所述的方法,其中,所述第一目标的所述单位单元与所述第二目标的所述单位单元相同。
10.根据权利要求7至9中任一项所述的方法,其中:
所述衬底包括被配置成包括器件结构的一个或更多个器件区域以及位于所述器件区域外部的一个或更多个划线道;并且
所述第一目标位于所述器件区域中的一个器件区域中,并且所述第二目标位于所述划线道中的一个划线道中。
11.根据任一前述权利要求所述的方法,其中,使用基于所述量测过程的在不同对准的情况下、在不同聚焦高度情况下、或在不同对准和不同聚焦高度情况下的多次先前应用的机器学习来产生所述参考光瞳表示。
12.根据任一前述权利要求所述的方法,其中:
所述方法被应用于位于不同部位处的多个第一目标中的每个第一目标;并且
当将所述方法应用于一个第一目标时所使用的所述辐射斑的多个位置是使用通过将所述方法应用于至少另一个第一目标而获得的最佳对准来被选择的。
13.根据任一前述权利要求所述的方法,还包括分析与通过根据权利要求1至12中任一项所述的方法确定的最佳对准和最佳聚焦高度中的任一个或两个对应的检测到的光瞳表示以获得感兴趣的参数的值。
14.根据权利要求13所述的方法,其中,所述感兴趣的参数包括以下中的一个或更多个:重叠、临界尺寸。
CN201980011373.XA 2018-02-02 2019-01-10 优化量测过程的方法 Active CN111684360B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18154885.0A EP3521930A1 (en) 2018-02-02 2018-02-02 Method of optimizing a metrology process
EP18154885.0 2018-02-02
PCT/EP2019/050535 WO2019149493A1 (en) 2018-02-02 2019-01-10 Method of optimizing a metrology process

Publications (2)

Publication Number Publication Date
CN111684360A CN111684360A (zh) 2020-09-18
CN111684360B true CN111684360B (zh) 2023-09-15

Family

ID=61157086

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980011373.XA Active CN111684360B (zh) 2018-02-02 2019-01-10 优化量测过程的方法

Country Status (7)

Country Link
US (1) US10585354B2 (zh)
EP (1) EP3521930A1 (zh)
JP (1) JP7165195B2 (zh)
KR (1) KR102423516B1 (zh)
CN (1) CN111684360B (zh)
TW (1) TWI712870B (zh)
WO (1) WO2019149493A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3521929A1 (en) * 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of determining an optimal focus height for a metrology apparatus
KR20210094314A (ko) 2020-01-21 2021-07-29 삼성전자주식회사 반도체 장치의 제조 방법
CN112305874B (zh) * 2020-11-11 2024-05-17 东方晶源微电子科技(北京)有限公司深圳分公司 一种衡量光瞳之间匹配程度的评价方法
CN114670244B (zh) * 2022-03-29 2023-10-20 中国铁建重工集团股份有限公司 一种结构制造方法及装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006153621A (ja) * 2004-11-29 2006-06-15 Nikon Corp 光学測定評価方法
CN101589343A (zh) * 2007-01-23 2009-11-25 卡尔蔡司Smt股份公司 辐照强度分布的测量设备以及测量方法
JP2013148447A (ja) * 2012-01-19 2013-08-01 Elpida Memory Inc パターン測定装置およびパターン測定方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US7869022B2 (en) * 2007-07-18 2011-01-11 Asml Netherlands B.V. Inspection method and apparatus lithographic apparatus, lithographic processing cell, device manufacturing method and distance measuring system
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL2003404A (en) * 2008-09-16 2010-03-17 Asml Netherlands Bv Inspection method and apparatus, substrate, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2004946A (en) * 2009-07-06 2011-01-10 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
NL2008317A (en) * 2011-03-24 2012-09-25 Asml Netherlands Bv Substrate and patterning device for use in metrology, metrology method and device manufacturing method.
NL2009508A (en) * 2011-10-24 2013-04-25 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
NL2011173A (en) * 2012-07-30 2014-02-03 Asml Netherlands Bv Position measuring apparatus, position measuring method, lithographic apparatus and device manufacturing method.
US9726984B2 (en) * 2013-07-09 2017-08-08 Kla-Tencor Corporation Aperture alignment in scatterometry metrology systems
JP6412163B2 (ja) * 2014-05-13 2018-10-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
WO2016050453A1 (en) * 2014-10-03 2016-04-07 Asml Netherlands B.V. Focus monitoring arrangement and inspection apparatus including such an arragnement
JP6616416B2 (ja) * 2014-11-26 2019-12-04 エーエスエムエル ネザーランズ ビー.ブイ. 計測方法、コンピュータ製品およびシステム
NL2016925A (en) * 2015-06-18 2016-12-22 Asml Netherlands Bv Method of metrology, inspection apparatus, lithographic system and device manufacturing method
US10615084B2 (en) * 2016-03-01 2020-04-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter, associated with a change in a physical configuration, using measured pixel optical characteristic values
WO2017186483A1 (en) * 2016-04-29 2017-11-02 Asml Netherlands B.V. Method and apparatus for determining the property of a structure, device manufacturing method
JP6716779B2 (ja) * 2016-07-21 2020-07-01 エーエスエムエル ネザーランズ ビー.ブイ. ターゲットの測定方法、基板、計測装置およびリソグラフィ装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006153621A (ja) * 2004-11-29 2006-06-15 Nikon Corp 光学測定評価方法
CN101589343A (zh) * 2007-01-23 2009-11-25 卡尔蔡司Smt股份公司 辐照强度分布的测量设备以及测量方法
JP2013148447A (ja) * 2012-01-19 2013-08-01 Elpida Memory Inc パターン測定装置およびパターン測定方法

Also Published As

Publication number Publication date
US20190243253A1 (en) 2019-08-08
TW201941000A (zh) 2019-10-16
JP7165195B2 (ja) 2022-11-02
EP3521930A1 (en) 2019-08-07
US10585354B2 (en) 2020-03-10
KR20200102505A (ko) 2020-08-31
JP2021513098A (ja) 2021-05-20
CN111684360A (zh) 2020-09-18
WO2019149493A1 (en) 2019-08-08
KR102423516B1 (ko) 2022-07-20
TWI712870B (zh) 2020-12-11

Similar Documents

Publication Publication Date Title
US11506566B2 (en) Method of processing data, method of obtaining calibration data
CN110998454B (zh) 用于确定图案化过程参数的方法
CN111684360B (zh) 优化量测过程的方法
CN111727407B (zh) 确定用于量测设备的最佳聚焦高度的方法
US20190214318A1 (en) Method and apparatus to monitor a process apparatus
CN111989620B (zh) 确定由图案化工艺形成的目标的所关注参数的值的方法
CN112782942A (zh) 配置方案选择方法
TW201921140A (zh) 判定圖案轉印程序之參數之方法及器件製造方法
CN110582729B (zh) 测量光学量测的性能的方法、衬底和设备
WO2021130315A1 (en) Method of determining a value of a parameter of interest of a target formed by a patterning process

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant