CN116171374A - 波前量测传感器及其掩模、用于优化掩模的方法及相关联的设备 - Google Patents

波前量测传感器及其掩模、用于优化掩模的方法及相关联的设备 Download PDF

Info

Publication number
CN116171374A
CN116171374A CN202180053302.3A CN202180053302A CN116171374A CN 116171374 A CN116171374 A CN 116171374A CN 202180053302 A CN202180053302 A CN 202180053302A CN 116171374 A CN116171374 A CN 116171374A
Authority
CN
China
Prior art keywords
radiation
mask
pattern
wavefront
wavefront sensor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180053302.3A
Other languages
English (en)
Inventor
拉尔斯·洛特格林
斯特凡·米歇尔·维特
C·L·波特
P·W·斯摩奥伦堡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN116171374A publication Critical patent/CN116171374A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J9/00Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/02Details
    • G01J1/04Optical or mechanical part supplementary adjustable parts
    • G01J1/0407Optical elements not provided otherwise, e.g. manifolds, windows, holograms, gratings
    • G01J1/0437Optical elements not provided otherwise, e.g. manifolds, windows, holograms, gratings using masks, aperture plates, spatial light modulators, spatial filters, e.g. reflective filters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/42Photometry, e.g. photographic exposure meter using electric radiation detectors
    • G01J1/4257Photometry, e.g. photographic exposure meter using electric radiation detectors applied to monitoring the characteristics of a beam, e.g. laser beam, headlamp beam
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • G01N23/20025Sample holders or supports therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/02Mechanical
    • G01N2201/021Special mounting in general

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Measuring Pulse, Heart Rate, Blood Pressure Or Blood Flow (AREA)

Abstract

披露了一种用于测量辐射的波前的波前传感器。所述波前传感器包括掩模,所述掩模包括位于所述辐射的路径中以便与所述辐射相互作用的图案。照射于所述掩模上的所述辐射在位于所述掩模之后的辐射检测器上形成辐射检测图案,并且所述掩模的所述图案是至少部分地基于所述辐射检测图案的要求而被设计的。

Description

波前量测传感器及其掩模、用于优化掩模的方法及相关联的 设备
相关申请的交叉引用
本申请要求2020年9月2日递交的欧洲申请20194153.1的优先权,该欧洲申请的全部内容以引用的方式并入本文中。
技术领域
本发明涉及诸如用于结合叠层成像术来监测辐射源的波前量测。
背景技术
光刻设备是构造成将期望的图案施加至衬底上的机器。光刻设备可以用于例如制造集成电路(IC)。光刻设备可以例如将图案形成装置(例如,掩模)处的图案(也经常被称作“设计布局”或“设计”)投影至提供于衬底(例如,晶片)上的辐射敏感材料(抗蚀剂)层上。
为了将图案投影于衬底上,光刻设备可以使用电磁辐射。此辐射的波长确定可以形成于衬底上的特征的最小尺寸。当前在使用中的典型波长为365nm(i线)、248nm、193nm和13.5nm。与使用例如具有约193nm的波长的辐射的光刻设备相比,使用具有在4nm至20nm的范围内(例如,6.7nm或13.5nm)的波长的极紫外(EUV)辐射的光刻设备可以用于在衬底上形成较小的特征。
低k1光刻可以用于处理尺寸小于光刻设备的经典分辨率极限的特征。在此过程中,可以将分辨率公式表达为CD=k1×λ/NA,其中,λ是所使用辐射的波长、NA是光刻设备中的投影光学器件的数值孔径、CD是“临界尺寸”(虽然通常是所印刷的最小特征尺寸,但是在这种情况下是半节距),并且k1是经验分辨率因子。一般而言,k1越小,则在衬底上再生类似于由电路设计者规划的形状及尺寸以便实现特定电功能性及性能的图案就变得越困难。为了克服这些困难,可以将复杂微调步骤应用到光刻投影装置和/或设计布局。这些步骤包括例如但不限于:NA的优化、自定义照射方案、相移图案形成装置的使用、设计布局的各种优化,诸如设计布局中的光学邻近效应校正(OPC,有时也被称为“光学及过程校正”),或通常被定义为“分辨率增强技术”(RET)的其他方法。可替代地,用于控制光刻设备的稳定性的严格控制回路可以用于改进在低k1下的图案的再生。
在光刻过程中,期望频繁地对所产生的结构进行测量,例如,以用于过程控制及验证。用于进行此类测量的各种工具是已知的,包括经常用于测量临界尺寸(CD)的扫描电子显微镜以及用于测量重叠(即,器件中的两个层的对准准确度)的专用工具。近来,已经开发出供光刻领域中使用的各种形式的散射仪。
已知的散射仪的示例经常依赖于专用量测目标的提供。例如,一种方法可能需要呈简单光栅的形式的目标,该光栅足够大以使得测量束产生小于该光栅的光斑(即,该光栅填充不足)。在所谓的重构造方法中,可以通过仿真散射辐射与目标结构的数学模型的相互作用来计算光栅的性质。调整模型的参数,直至所仿真的相互作用产生与从真实目标观测到的衍射图案相似的衍射图案。
除了通过重构造进行特征形状的测量以外,也可以使用此类设备来测量以衍射为基础的重叠,如公开专利申请案US2006066855A1中描述的。使用衍射阶的暗场成像的以衍射为基础的重叠量测实现了对较小目标的重叠测量。这些目标可能小于照射光斑并且可以被晶片上的产品结构环绕。可以在诸如例如US2011102753A1和US20120044470A的众多公开专利申请案中找到暗场成像量测的示例。可以使用复合光栅目标而在一个图像中测量多个光栅。已知的散射仪趋向于使用在可见光或近红外(IR)波范围内的光,这要求光栅的节距比其性质实际上感兴趣的实际产品结构粗大得多。可以使用具有短得多的波长的深紫外(DUV)、极紫外(EUV)或X射线辐射来限定此类产品特征。遗憾的是,这些波长通常不可用于或不能用于测量。
另一方面,现代产品结构的尺寸如此之小,以致现代产品结构无法通过光学量测技术而成像。较小特征包括例如通过多重图案形成过程和/或节距倍增而形成的特征。因此,用于大容量量测的目标经常使用比其重叠误差或临界尺寸是感兴趣的性质的产品大得多的特征。测量结果仅与真实产品结构的尺寸间接地相关,并且可能不准确,这是因为量测目标在光刻设备中的光学投影和/或制造过程的其他步骤中的不同处理下不遭受相同的失真。虽然扫描电子显微法(SEM)能够直接地分辨这些现代产品结构,但是SEM要比光学测量更耗时。此外,电子不能够穿透较厚的过程层,这使得电子比较不适合于量测应用。虽然诸如使用接触垫来测量电性质的其他技术也是已知的,但是这仅提供真实产品结构的间接证据。
通过缩短在量测期间所使用的辐射的波长,有可能分辨更小的结构,以增加对结构的结构变化的敏感度和/或进一步穿透到产品结构中。产生适当高频率辐射(例如,硬X射线、软X射线和/或EUV辐射)的一种此类方法可以使用泵浦辐射(例如,红外辐射)来激发产生介质,由此产生发射辐射,可选地包括高频率辐射的高阶谐波产生。
波前量测传感器(或被称为波前传感器)用于测量辐射的波前,并且可以使用包括位于辐射的路径中的图案的掩模,诸如哈特曼掩模。尤其当结合叠层成像术来使用时,此类掩模对于波前感测(特别是在波前及光谱敏感度方面)并非最佳的。将期望改进此类掩模。
发明内容
在本发明的第一方面中,提供一种用于测量辐射的波前的波前传感器。所述波前传感器包括掩模,所述掩模包括图案,所述图案位于所述辐射的路径中以便与所述辐射相互作用。照射于所述掩模上的所述辐射在位于所述掩模之后的辐射检测器上形成辐射检测图案,并且所述掩模的所述图案是至少部分地基于所述辐射检测图案的要求而被设计的。
在本发明的第二方面中,提供一种设计在用于辐射的波前传感器中使用的掩模的图案的方法,其中,至少部分地基于所述辐射的辐射检测图案的要求而设计所述掩模的所述图案,其中,在位于所述掩模之后的检测平面上产生所述辐射检测图案。
在本发明的第三方面中,提供一种用于波前传感器的组件,所述波前传感器包括检测平面和掩模,所述掩模具有图案,所述图案位于辐射的路径中以便与所述辐射相互作用。照射于所述掩模上的所述辐射在位于所述掩模之后的所述检测平面上形成辐射检测图案,并且所述掩模的所述图案是至少部分地基于所述辐射检测图案的要求而被设计的。
附图说明
现在将参考随附的示意图并仅作为示例来描述实施例,其中:
图1描绘光刻设备的示意性概略图;
图2描绘光刻单元的示意性概略图;
图3描绘整体光刻的示意图,所述示意图表示用于优化半导体制造的三种关键技术之间的合作;
图4示意性地说明散射测量设备;
-图5示意性说明透射型散射测量设备;
-图6描绘其中使用EUV和/或SXR辐射的量测设备的示意图;
-图7描绘对准传感器的示意性框图;
-图8描绘水平传感器的示意性框图;
-图9描绘用于高阶谐波产生的照射源的示意图;
-图10说明不具有光谱分辨率的波前传感器的操作原理;
-图11描绘用于波前测量的布置;
-图12是针对作为波前传感器的若干二元型掩模的均方根波前感测误差k以及组合式空间熵指标的标绘图;
-图13描绘依据关键取样参数的波前传感器布置;以及
-图14说明根据本发明的实施例的掩模的两种类型。
具体实施方式
在本文件中,术语“辐射”和“束”用于涵盖所有类型的电磁辐射及粒子辐射,包括紫外辐射(例如,具有为365nm、248nm、193nm、157nm或126nm的波长)、EUV(极紫外辐射,例如具有在约5nm至100nm的范围内的波长)、X射线辐射、电子束辐射以及其他粒子辐射。
如本文中使用的术语“掩模版”、“掩模”或“图案形成装置”可以被广义地解释为指可以用于向入射辐射束赋予经图案化的横截面的通用图案形成装置,所述经图案化的横截面对应于待在衬底的目标部分中产生的图案。在此内容背景中,也可以使用术语“光阀”。除了经典掩模(透射或反射型、二元型、相移型、混合型等)以外,其他此类图案形成装置的示例包括可编程反射镜阵列和可编程LCD阵列。
图1示意性地描绘光刻设备LA。光刻设备LA包括:照射系统(也被称为照射器)IL,所述照射系统配置成调节辐射束B(例如,UV辐射、DUV辐射、EUV辐射或X射线辐射);掩模支撑件(例如,掩模台)T,所述掩模支撑件构造成支撑图案形成装置(例如,掩模)MA并且连接到配置成根据某些参数来准确地定位图案形成装置MA的第一定位器PM;衬底支撑件(例如,晶片台)WT,所述衬底支撑件构造成保持衬底(例如,涂覆有抗蚀剂的晶片)W并且连接到配置成根据某些参数来准确地定位该衬底支撑件的第二定位器PW;以及投影系统(例如,折射型投影透镜系统)PS,所述投影系统配置成将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或更多个管芯)上。
在操作中,照射系统IL例如经由束传递系统BD接收来自辐射源SO的辐射束。照射系统IL可以包括用于引导、成形和/或控制辐射的各种类型的光学组件,诸如折射型、反射型、衍射型、磁性型、电磁型、静电型和/或其他类型的光学组件,或其任何组合。照射器IL可以用于调节辐射束B,以在图案形成装置MA的平面处在其横截面中具有期望的空间及角强度分布。
本文中使用的术语“投影系统”PS应该被广义地解释为涵盖适于所使用的曝光辐射和/或适于诸如浸没液体的使用或真空的使用的其他因素的各种类型的投影系统,包括折射型、反射型、衍射型、反射折射型、合成型、磁性型、电磁型和/或静电型光学系统,或其任何组合。可以认为本文中对术语“投影透镜”的任何使用均与更上位的术语“投影系统”PS同义。
光刻设备LA可以属于如下类型:其中,衬底的至少一部分可以由具有相对较高折射率的液体(例如,水)覆盖,以便填充投影系统PS与衬底W之间的空间——这也被称为浸没光刻。全文以引用的方式并入本文中的US6952253中给出了关于浸没技术的更多信息。
光刻设备LA也可以属于具有两个或多于两个衬底支撑件WT(又名“双平台”)的类型。在这种“多平台”机器中,可以并行地使用衬底支撑件WT,和/或者可以在位于衬底支撑件WT中的一个上的衬底W上进行准备衬底W的后续曝光的步骤,同时将另一个衬底支撑件WT上的另一个衬底W用于曝光在该另一个衬底W上的图案。
除了衬底支撑件WT以外,光刻设备LA还可以包括测量平台。测量平台被布置为保持传感器和/或清洁装置。传感器可以被布置为测量投影系统PS的性质或辐射束B的性质。测量平台可以保持多个传感器。清洁装置可以被布置为清洁光刻设备的一部分,例如投影系统PS的一部分或提供浸没液体的系统的一部分。测量平台可以在衬底支撑件WT远离投影系统PS时在投影系统PS下方移动。
在操作中,辐射束B入射于被保持在掩模支撑件T上的图案形成装置(例如,掩模)MA上,并且被存在于图案形成装置MA上的图案(设计布局)图案化。在已经横穿掩模MA的情况下,辐射束B穿过投影系统PS,投影系统PS将该束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置测量系统IF,可以准确地移动衬底支撑件WT,例如以使不同的目标部分C在辐射束B的路径中定位于经聚焦且对准的位置处。相似地,第一定位器PM及可能地另一个位置传感器(另一个位置传感器未在图1中明确地描绘)可以用于相对于辐射束B的路径来准确地定位图案形成装置MA。可以使用掩模对准标记M1、M2及衬底对准标记P1、P2来对准图案形成装置MA及衬底W。尽管如所说明的衬底对准标记P1、P2占据了专用目标部分,但是所述衬底对准标记P1、P2可以位于目标部分之间的空间中。当衬底对准标记P1、P2位于目标部分C之间时,这些衬底对准标记P1、P2被称为划线对准标记。
如图2所示,光刻设备LA可以形成光刻单元LC(有时也被称为光刻元或(光刻)簇)的一部分,光刻单元LC经常还包括用于对衬底W执行曝光前过程及曝光后过程的设备。通常,这些设备包括:用于沉积抗蚀剂层的旋涂器SC、用于显影经曝光抗蚀剂的显影器DE、例如用于调节衬底W的温度例如以用于调节抗蚀剂层中的溶剂的激冷板CH及烘烤板BK。衬底处置器或机器人RO从输入/输出端口I/O1、I/O2拾取衬底W、在不同过程装置之间移动衬底W并且将衬底W传递到光刻设备LA的进料台LB。光刻单元中经常也被统称为轨道的装置可以在轨道控制单元TCU的控制下,轨道控制单元TCU自身可以受到管理控制系统SCS控制,管理控制系统SCS也可以例如经由光刻控制单元LACU而控制光刻设备LA。
在光刻过程中,期望频繁地对所产生的结构进行测量,例如,以用于过程控制及验证。用于进行此类测量的工具可以被称为量测工具MT。用于进行此类测量的不同类型的量测工具MT是已知的,包括扫描电子显微镜或各种形式的散射仪量测工具MT。散射仪是多功能器具,所述多功能器具允许通过在光瞳或与散射仪的物镜的光瞳共轭的共轭平面中具有传感器来测量光刻过程的参数(测量通常被称为以光瞳为基础的测量),或者通过在图像平面或与图像平面共轭的共轭平面中具有传感器来测量光刻过程的参数,在这种情况下,测量通常被称为以图像或场为基础的测量。全文以引用的方式并入本文中的专利申请案US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中进一步描述了此类散射仪及相关联的测量技术。前述散射仪可以使用来自硬X射线、软X射线、极紫外和可见光至近IR波长范围的光来测量光栅。在辐射为硬X射线或软X射线的情况下,可选地在波长在0.01nm至10nm的范围内的情况下,前述散射仪可以可选地是小角度X射线散射量测工具。
为了正确且一致地曝光由光刻设备LA曝光的衬底W,期望检测衬底以检查经图案化结构的性质,诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)、结构的形状等。出于此目的,可以在光刻单元LC中包括检查工具和/或量测工具(图中未示出)。如果检测到误差,则可以对后续衬底的曝光或对待对衬底W执行的其他处理步骤进行例如调整,尤其是在同一批量或批次的其他衬底W仍待曝光或处理之前进行检查的情况下。
也可以被称为量测设备的检查设备用于确定衬底W的性质,并且尤其确定不同衬底W的性质如何变化或与同一衬底W的不同层相关联的性质在不同层间如何变化。检查设备可以可替代地构造成识别衬底W上的缺陷,并且可以例如是光刻单元LC的一部分,或者可以集成到光刻设备LA中,或者可以甚至是单机装置。检查设备可以测量潜像(在曝光之后在抗蚀剂层中的图像)上的性质,或半潜像(在曝光后烘烤步骤PEB之后在抗蚀剂层中的图像)上的性质,或经显影的抗蚀剂图像(其中,抗蚀剂的曝光部分或未曝光部分已经被移除)上的性质,或者甚至经蚀刻的图像(在诸如蚀刻的图案转移步骤之后)上的性质。
在第一实施例中,散射仪MT是角度分辨散射仪。在该散射仪中,重构造方法可以应用于所测得的信号以重构造或计算光栅的性质。可以例如由仿真散射辐射与目标结构的数学模型的相互作用并且比较模拟结果与测量的结果引起这种重构造。调整数学模型的参数,直至所仿真的相互作用产生与从真实目标观测到的衍射图案相似的衍射图案。
在第二实施例中,散射仪MT是光谱散射仪MT。在这种光谱散射仪MT中,由辐射源发射的辐射被引导到目标上,并且来自目标的反射、透射或散射辐射被引导到光谱仪检测器,该光谱仪检测器测量镜面反射辐射的光谱(即,依据波长而变化的强度的测量值)。根据该数据,可以例如通过严格耦合波分析及非线性回归或者通过与所仿真的光谱库比较来重构造产生经检测到的光谱的目标的结构或轮廓。
在第三实施例中,散射仪MT是椭圆测量散射仪。椭圆测量散射仪允许通过测量针对每个偏振状态的散射或透射辐射来确定光刻过程的参数。这种量测设备通过在量测设备的照射区段中使用例如适当的偏振滤波器来发射偏振光(诸如线性、圆形或椭圆形)。适合于量测设备的源也可以提供偏振辐射。全文以引用的方式并入本文中的美国专利申请案11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110和13/891,410中描述了现有的椭圆测量散射仪的各种实施例。
在散射仪MT的一个实施例中,散射仪MT适用于通过测量反射光谱和/或检测配置中的不对称性(该不对称性与重叠的范围有关)来测量两个未对准的光栅或周期性结构的重叠。可以将两个(可能重叠的)光栅结构施加于两个不同的层(不一定是连续层)中,并且这两个光栅结构可以形成为处于晶片上的基本相同的位置。散射仪可以具有如例如共同拥有的专利申请案EP1,628,164A中描述的对称检测配置,使得任何不对称性都是明确地可区分的。这提供了用于测量光栅中的对准不良的简单明了的方式。可以在全文以引用的方式并入本文中的PCT专利申请公开案第WO2011/012624号或美国专利申请案US20160161863中找到测量包含所述周期性结构的两个层之间的重叠误差的另外示例,通过周期性结构的不对称性来测量作为目标的周期性结构。
其他感兴趣的参数可以是焦点及剂量。可以通过如全文以引用的方式并入本文中的美国专利申请案US2011-0249244中描述的散射测量(或者可替代地通过扫描电子显微法)同时确定焦点及剂量。可以使用具有针对焦点能量矩阵(FEM——也被称为焦点曝光矩阵)中的每个点的临界尺寸及侧壁角测量值的独特组合的单个结构。如果可得到临界尺寸及侧壁角的这些独特组合,则可以根据这些测量值独特地确定焦点及剂量值。
量测目标可以是通过光刻过程主要不仅在抗蚀剂中形成而且在例如蚀刻过程之后形成的复合光栅的总体。光栅中的结构的节距及线宽可以在很大程度上依赖于测量光学器件(尤其是光学器件的NA)能够捕捉来自量测目标的衍射阶。如较早指示的,衍射信号可以用于确定两个层之间的移位(也被称为“重叠”)或者可以用于重构造如通过光刻过程产生的原始光栅的至少一部分。该重构造可以用于提供光刻过程的品质的指导,并且可以用于控制光刻过程的至少一部分。目标可以具有更小的子分段,该更小的子分段被配置成模仿目标中的设计布局的功能性部分的尺寸。由于该子分段,目标将表现得与设计布局的功能性部分更加相似,使得总体过程参数测量更佳地类似于设计布局的功能性部分。可以在填充不足的模式中或在填充过度的模式中测量目标。在填充不足的模式中,测量束产生小于总体目标的光斑。在填充过度的模式中,测量束产生大于总体目标的光斑。在该填充过度的模式中,也有可能同时测量不同的目标,因此同时确定不同的处理参数。
至少部分地通过用于测量该光刻参数的测量选配方案来确定使用特定目标进行的光刻参数的总体测量品质。术语“衬底测量选配方案”可以包括测量自身的一个或更多个参数、测得的一个或更多个图案的一个或更多个参数,或它们两者。例如,如果用于衬底测量选配方案中的测量是以衍射为基础的光学测量,则测量的参数中的一个或更多个参数可以包括辐射的波长、辐射的偏振、辐射相对于衬底的入射角、辐射相对于衬底上的图案的定向等。用于选择测量选配方案的准则中的一个准则可以是例如测量参数中的一个测量参数对于处理变化的敏感度。全文以引用的方式并入本文中的美国专利申请案US2016-0161863及已公开的美国专利申请案US2016/0370717A1中描述了更多的示例。
光刻设备LA中的图案形成过程可以是在处理中的最具决定性的步骤中的一个步骤,所述一个步骤需要衬底W上的结构的尺寸标定及放置的较高准确度。为了确保这种较高准确度,可以将三个系统组合于所谓的“整体”控制环境中,如图3中示意性地描绘的。这些系统中的一个系统是光刻设备LA,光刻设备LA(实际上)连接到量测工具MT(第二系统)并且连接到计算机系统CL(第三系统)。该“整体”环境的关键在于,优化这三个系统之间的合作以增强总体过程窗口并且提供严格的控制回路,从而确保由光刻设备LA执行的图案化保持位于过程窗口内。过程窗口限定过程参数(例如,剂量、焦点、重叠)的范围,在该范围内,特定制造过程产生经限定的结果(例如,功能半导体器件)——光刻过程或图案形成过程中的过程参数被允许可以在该范围内变化。
计算机系统CL可以使用待图案化的设计布局(的一部分)以预测使用哪种分辨率增强技术并且执行运算光刻模拟及计算以确定哪种掩模布局及光刻设备设定实现图案形成过程的最大总体过程窗口(在图3中由第一标度SC1中的双箭头描绘)。分辨率增强技术可以被布置为匹配光刻设备LA的图案化可能性。计算机系统CL也可以用于(例如,使用来自量测工具MT的输入)检测光刻设备LA当前正在过程窗口内何处操作以预测由于例如次优处理而是否可能存在缺陷(在图3中由第二标度SC2中的指向“0”的箭头描绘)。
量测工具MT可以将输入提供到计算机系统CL以实现准确的仿真及预测,并且可以将反馈提供到光刻设备LA以识别例如光刻设备LA的校准状态的可能的漂移(在图3中由第三标度SC3中的多个箭头描绘)。
在光刻过程中,期望频繁地对所产生的结构进行测量,例如,以用于过程控制及验证。用于进行此类测量的各种工具是已知的,包括扫描电子显微镜或各种形式的量测设备,诸如散射仪。已知的散射仪的示例经常依赖于专用量测目标的提供,诸如,填充不足的目标(呈简单光栅或不同层中的重叠光栅的形式的目标,所述目标足够大使得测量束产生小于光栅的光斑)或填充过度的目标(照射光斑借以部分地或完全地包含该目标)。另外,使用量测工具(例如,照射诸如光栅的填充不足的目标的角度分辨散射仪)允许使用所谓的重构造方法,其中,可以通过仿真散射辐射与目标结构的数学模型的相互作用并且比较模拟结果与测量的结果来计算光栅的性质。调整模型的参数,直至所仿真的相互作用产生与从真实目标观测到的衍射图案相似的衍射图案。
散射仪是多功能器具,所述多功能器具允许通过在光瞳平面中或与散射仪的物镜的光瞳共轭的共轭平面中,或通过在光瞳平面附近或与散射仪的物镜的光瞳共轭的共轭平面附近具有传感器来测量光刻过程的参数(测量通常被称为以光瞳为基础的测量),或者通过在图像平面中或与图像平面共轭的共轭平面中,或通过在图像平面附近或与图像平面共轭的共轭平面附近具有传感器来测量光刻过程的参数。在这种情况下,测量通常被称为以图像或场为基础的测量。全文以引用的方式并入本文中的专利申请案US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中进一步描述了此类散射仪及相关联的测量技术。前述散射仪可以使用来自硬X射线、软X射线、极紫外、可见光至近IR及IR波范围的光来测量来自多个光栅的在一个图像中的多个目标。
图4中描绘了量测设备的一个示例,诸如散射仪。该散射仪可以包括将辐射5投影到衬底W上的宽带(例如,白光)辐射或窄带辐射投影仪2。反射或散射辐射10被传递到光谱仪检测器4,该光谱仪检测器测量镜面反射辐射的光谱6(即,依据波长λ而变化的强度I的测量)。根据该数据,例如,通过严格耦合波分析及非线性回归,或者通过与图4的底部处示出的所仿真的光谱的库的比较,可以由处理单元PU重构造引起检测到的光谱的结构或轮廓8。一般而言,对于重构造,结构的一般形式是已知的,并且根据用来制造结构的过程的知识来假定一些参数,从而仅留下结构的几个参数以待根据散射测量数据予以确定。该散射仪可以被配置为正入射散射仪或斜入射散射仪。
作为光学量测方法的替代方案,还考虑使用硬X射线、软X射线或EUV辐射,例如介于0.01nm与100nm之间、或可选地介于1nm与50nm之间、或可选地介于8nm与20nm之间、或10mn与20nm之间的波长范围中的辐射。在掠入射下使用X射线(GI-XRS)及极紫外(EUV)辐射的反射测量技术可以用于测量衬底上的膜及层的堆叠的性质。在反射测量技术的通用领域内,可以应用测角和/或光谱技术。在测角术中,可以测量在不同入射角下的反射束的变化。另一方面,光谱反射测量技术(使用宽带辐射或窄频带辐射)测量在给定角度下反射的波长的光谱。例如,EUV反射测量技术已在制造用于EUV光刻中的掩模版(图案形成装置)之前用于掩模坯件的检测。
图5中描绘了量测设备的示例的透射型版本,诸如图4中示出的散射仪。透射辐射11被传递到光谱仪检测器4,该光谱仪检测器测量如针对图4所论述的光谱6。该散射仪可以被配置为正入射散射仪或斜入射散射仪。
应用范围有可能使例如软X射线或EUV域中的波长的使用是不充分的。量测工具在上文所呈现的波长范围中的一个波长范围中起作用的一个示例是透射型小角度X射线散射(如全文内容以引用的方式并入本文中的US2007224518A中的T-SAXS)。Lemaillet等人在“Intercomparison between optical and X-ray scatterometry measurements ofFinFET structures(FinFET结构的光学和X射线散射测量之间的相互比较)”(SPIE出版,2013年,8681)中论述了使用T-SAXS的轮廓(CD)测量。已公开专利申请案US20130304424A1和US2014019097A1(Bakeman等人/KLA)描述了混合量测技术,在混合量测技术中,将使用x射线进行的测量及利用在120nm与2000nm的范围内的波长的光学测量组合在一起以获得诸如CD的参数的测量。通过经由一个或更多个共同部分将x射线数学模型及光学数学模型耦合来获得CD测量。所引用的美国专利申请案的全文内容以引用的方式并入本文中。
图6描绘了量测设备302的示意图,其中,在0.01nm至100nm的波长范围内的辐射可以用于测量衬底上的结构的参数。图6中呈现的量测设备302可以适用于硬X射线、软X射线或EUV域。
图6仅示例地说明了包括可选地在掠入射中使用硬X射线(HXR)和/或软X射线(SXR)和/或EUV辐射的光谱散射仪的量测设备302的示意性物理布置。可能以角度分辨散射仪的形式提供检查设备的替代形式,该角度分辨散射仪相似于在较长波长下操作的传统的散射仪而使用正入射或近正入射的辐射。可能以透射散射仪的形式提供检查设备的替代形式,图5中的配置应用到该透射散射仪。
检查设备302包括辐射源或所谓的照射源310、照射系统312、衬底支撑件316、检测系统318、398和量测处理单元(MPU)320。
该示例中的照射源310用于产生EUV、硬X射线或软X射线辐射。照射源310可以基于如图6中示出的高阶谐波产生(HHG)技术,并且照射源310也可以是其他类型的照射源,例如液体金属射流源、逆康普顿散射(ICS)源、等离子体通道源、磁波荡器源或自由电子激光(FEL)源。
对于HHG源的示例,如图6所示,辐射源的主要组件是能够操作以发射泵浦辐射的泵浦辐射源330以及气体传递系统332。可选地,泵浦辐射源330是激光器,可选地,泵浦辐射源330是脉冲式高功率红外或光学激光器。泵浦辐射源330可以是例如具有光学放大器的以光纤为基础的激光器,从而产生每脉冲可以持续例如小于1ns(1纳秒)的红外辐射的脉冲,其中,脉冲重复率根据需要高达数兆赫兹。红外辐射的波长可以是例如大约1μm(1微米)。可选地,激光脉冲作为第一泵浦辐射340传递到气体传递系统332,其中,在气体中,辐射的一部分转换为比第一辐射高的频率而成为发射辐射342。气体源334将合适的气体供应到气体传递系统332,在该气体传递系统中,该合适的气体可选地被电源336离子化。气体传递系统332可以是截断管。由气体传递系统332提供的气体限定气体目标,该气体目标可以是气流或静态体积。例如,气体可以是惰性气体,诸如氖气(Ne)、氦气(He)或氩气(Ar)。氮气(N2)、氧气(O2)、氩气(Ar)、氪气(Kr)、氙气(Xe)气体都可以被考虑。这些气体可以是同一设备内的可选择的选项。
发射辐射可以包含多个波长。如果发射辐射是单色的,则可以简化测量计算(例如,重构造),但更容易产生具有若干波长的辐射。发射辐射的发射发散角可以是依赖波长的。不同的波长将例如在对不同材料的结构成像时提供不同等级的对比度。例如,为了检查金属结构或硅结构,可以将不同波长选择为用于对(碳基)抗蚀剂的特征成像或用于检测此类不同材料的污染的波长。可以提供一个或更多个滤波装置344。例如,诸如铝(Al)或锆(Zr)的薄膜的滤波器可以用于切断基频IR辐射以免进一步传递到检查设备中。可以提供光栅(图中未示出)以从产生的波长当中选择一个或更多个特定波长。可选地,在真空环境内可以包含发射辐射的束路径中的一些或全部,应当记住,SXR和/或EUV辐射在空气中行进时会被吸收。辐射源310及照射光学器件312的各种部件可以是可调整的,以在同一设备内实施不同的量测“选配方案”。例如,可以使不同的波长和/或偏振是可选择的。
依赖于在检查中的结构的材料,不同的波长可以提供进入下部层中的穿入的期望程度。为了分辨最小器件特征及最小器件特征当中的缺陷,短波长很可能是优选的。例如,可以选择介于0.01nm至20nm的范围内、或可选地介于1nm至10nm的范围内、或可选地介于10nm至20nm的范围内的一种或更多种波长。短于5nm的波长可能在从半导体制造中的感兴趣的材料反射时遭受非常低的临界角。因此,选择大于5nm的波长可以在较高入射角下提供较强的信号。另一方面,如果检测任务是检测某种材料的存在例如以检测污染,则高达50nm的波长可以是有用的。
经滤波的束342从辐射源310进入检查室350,在该检查室中,包括感兴趣的结构的衬底W由衬底支撑件316保持以用于在测量位置处进行检查。感兴趣的结构被标注为T。可选地,检查室350内的氛围可以由真空泵352维持为接近真空,使得SXR和/或EUV辐射可以在无不当衰减的情况下穿过该氛围。照射系统312具有将辐射聚焦成经聚焦的束356的功能,并且可以包括例如二维曲面反射镜或一系列一维曲面反射镜,如上文所提及的已公开美国专利申请案US2017/0184981A1(其全文内容以引用的方式并入本文中)中描述的那样。执行聚焦以在投影到感兴趣的结构上时实现直径小于10μm的圆形或椭圆形光斑S。衬底支撑件316包括例如X-Y平移平台及旋转平台,通过X-Y平移平台及旋转平台,可以使衬底W的任何部分在期望的定向上到达束的焦点。因此,辐射光斑S形成于感兴趣的结构上。可替代地或另外地,衬底支撑件316包括例如倾斜平台,该倾斜平台可以按某个角度使衬底W倾斜以控制经聚焦的束在感兴趣的结构T上的入射角。
可选地,照射系统312将参考辐射束提供到参考检测器314,该参考检测器可以配置成测量经滤波的束342中的不同波长的光谱和/或强度。参考检测器314可以配置成产生信号315,该信号被提供到处理器310,并且滤波器可以包括关于经滤波的束342的光谱和/或经滤波的束中的不同波长的强度的信息。
反射辐射360由检测器318捕捉,并且光谱被提供到处理器320以用于计算目标结构T的特性。因此,照射系统312及检测系统318形成检查设备。该检查设备可以包括属于全文内容以引用的方式并入本文中的US2016282282A1中描述的种类的硬X射线、软X射线和/或EUV光谱反射计。
如果目标Ta具有某种周期性,则经聚焦的束356的辐射也可以被部分地衍射。然后,衍射辐射397相对于入射角,相对于反射辐射360以明确限定的角度遵循另一条路径。在图6中,以示意性方式绘制所绘制的衍射辐射397,并且衍射辐射397可以遵循除了所绘制的路径之外的许多其他路径。检查设备302还可以包括检测衍射辐射397的至少一部分和/或对衍射辐射397的至少一部分进行成像的另外的检测系统398。在图6中,绘制了单个另外的检测系统398,但检查设备302的实施例还可以包括多于一个的另外的检测系统398,所述多于一个的另外的检测系统被布置于不同位置处以在多个衍射方向上检测衍射辐射397和/或对衍射辐射397进行成像。换句话说,由一个或更多个另外的检测系统398检测和/或成像照射于目标Ta上的经聚焦的辐射束的(较高)衍射阶。该一个或更多个检测系统398产生信号399,该信号被提供到量测处理器320。信号399可以包括衍射光397的信息和/或可以包括从衍射光397获得的图像。
为了辅助光斑S与期望的产品结构的对准及聚焦,检查设备302也可以提供在量测处理器320的控制下使用辅助辐射的辅助光学器件。量测处理器320也可以与位置控制器372通信,该位置控制器操作平移平台、旋转平台和/或倾斜平台。处理器320经由传感器接收关于衬底的位置及定向的高度准确的反馈。传感器374可以包括例如干涉计,干涉计可以给出约数微微米的准确度。在检查设备302的操作中,由检测系统318捕捉的光谱数据382被传递到量测处理单元320。
如所提及的,检查设备的替代形式使用可选地处于正入射或近正入射的硬X射线、软X射线和/或EUV辐射,例如以执行以衍射为基础的不对称性测量。两种类型的检查设备都可以被提供于混合量测系统中。待测量的性能参数可以包括重叠(OVL)、临界尺寸(CD)、当光刻设备印刷目标结构时光刻设备的焦点、相干衍射成像(CDI)、以及依分辨率重叠(ARO)量测。硬X射线、软X射线和/或EUV辐射可以例如具有小于100nm的波长,例如使用介于5nm至30nm的范围内、可选地介于10nm至20nm的范围内的辐射。该辐射在特性上可以是窄带或宽带。该辐射可以在特定波长带中具有离散的峰值或者可以具有更连续的特性。
类似于用于当今生产设施中的光学散射仪,检查设备302可以用于测量在光刻单元内处理的抗蚀剂材料内的结构(显影后检查或ADI),和/或用于在结构已经以较硬材料形成之后测量该结构(蚀刻后检查或AEI)。例如,可以在衬底已经由显影设备、蚀刻设备、退火设备和/或其他设备处理之后使用检查设备302来检查所述衬底。
形貌量测系统、水平传感器或高度传感器(并且它们可以集成于光刻设备中)被布置为测量衬底(或晶片)的顶部表面的形貌。可以根据指示为在衬底上的位置的函数的衬底的高度的这些测量而产生衬底的形貌的映射图(也被称为高度图)。该高度图可以随后用于在将图案转移于衬底上期间校正衬底的位置,以便在衬底上的适当聚焦位置提供图案形成装置的空中图像。应当理解,“高度”在此内容背景中是指相对于衬底大致在平面之外的尺寸(也被称为Z轴)。通常,水平或高度传感器在固定位置(相对于其自身的光学系统)处执行测量,并且衬底与水平或高度传感器的光学系统之间的相对移动导致在整个衬底上的位置处进行高度测量。
图8中示意性地示出如本领域中已知的水平或高度传感器LS的示例,这仅说明操作原理。在该示例中,水平传感器包括光学系统,该光学系统包括投影单元LSP及检测单元LSD。投影单元LSP包括提供辐射束LSB的辐射源LSO,该辐射束是由投影单元LSP的投影光栅PGR赋予的。辐射源LSO可以是例如窄带或宽带辐射源,诸如超连续谱光源,偏振或非偏振的、脉冲的或连续的,诸如偏振或非偏振的激光束。辐射源LSO可以包括具有不同颜色或波长范围的多个辐射源,诸如多个LED。水平传感器LS的辐射源LSO不限于可见光辐射,但另外地或者可替代地,可以涵盖UV和/或IR辐射及适合于从衬底的表面反射的任何波长范围。
投影光栅PGR是包括产生具有周期性变化强度的辐射束BE1的周期性结构的周期性光栅。具有周期性变化强度的辐射束BE1被引导朝向衬底W上的测量位置MLO,该辐射束相对于垂直于入射衬底表面的轴线(Z轴)具有介于0度与90度之间(通常介于70度与80度之间)的入射角ANG。在测量位置MLO处,经图案化的辐射束BE1被衬底W反射(由箭头BE2指示)并且被引导朝向检测单元LSD。
为了确定测量位置MLO处的高度水平,水平传感器还包括检测系统,该检测系统包括检测光栅DGR、检测器DET、以及用于处理检测器DET的输出信号的处理单元(图中未示出)。检测光栅DGR可以与投影光栅PGR相同。检测器DET产生检测器输出信号,该检测器输出信号指示所接收的光,例如指示所接收的光的强度,诸如光检测器;或表示所接收的强度的空间分布,诸如相机。检测器DET可以包括一种或多种检测器类型的任何组合。
借助于三角测量技术,可以确定测量位置MLO处的高度水平。所检测到的高度水平通常与如通过检测器DET测量的信号强度有关,该信号强度具有尤其依赖于投影光栅PGR的设计及(倾斜)入射角ANG的周期性。
沿着投影光栅PGR与检测光栅DGR(图中未示出)之间的经图案化的辐射束的路径,投影单元LSP和/或检测单元LSD可以包括其他光学组件,诸如透镜和/或反射镜。
在实施例中,可以省略检测光栅DGR,并且可以将检测器DET放置于检测光栅DGR所位于的位置处。该配置提供对投影光栅PGR的图像的更直接的检测。
为了有效地覆盖衬底W的表面,水平传感器LS可以被配置成将测量束BE1的阵列投影到衬底W的表面上,由此产生覆盖较大测量范围的测量区域MLO或光斑的阵列。
例如在均以引用的方式并入本文中的US7265364及US7646471中披露了一般类型的各种高度传感器。在以引用的方式并入本文中的US2010233600A1中披露了使用UV辐射而非可见光或红外辐射的高度传感器。在以引用的方式并入本文中的WO2016102127A1中,描述了使用多组件检测器来检测及辨识光栅图像的位置而无需检测光栅的紧凑型高度传感器。
在复杂器件的制造中,通常执行许多光刻图案形成步骤,由此在衬底上的连续层中形成功能性特征。因此,光刻设备的性能的决定性方面能够相对于(通过相同的设备或不同的光刻设备)置于先前层中的特征恰当且准确地放置所施加的图案。出于此目的,衬底具备一组或更多组标记。每个标记均是稍后可以使用位置传感器(通常为光学位置传感器)测量其位置的结构。位置传感器可以被称为“对准传感器”并且标记可以被称为“对准标记”。
光刻设备可以包括一个或更多个(例如,多个)对准传感器,通过该一个或更多个对准传感器,可以准确地测量提供于衬底上的对准标记的位置。对准(或位置)传感器可以使用光学现象(诸如,衍射和干涉),以从形成于衬底上的对准标记获得位置信息。用于当前光刻设备中的对准传感器的示例基于如US6961116中描述的自参考干涉计。已经开发出位置传感器的各种增强例和变型例,例如US2015261097A1中披露的。所有这些公开案的内容以引用的方式并入本文中。
图7是诸如例如US6961116中描述的且以引用的方式并入本文中的已知的对准传感器AS的实施例的示意性框图。辐射源RSO提供具有一种或更多种波长的辐射束RB,该辐射束是由偏转光学器件偏转至标记(诸如,位于衬底W上的标记AM)上以作为照射光斑SP。在该示例中,偏转光学器件包括光斑反射镜SM及物镜OL。用于照射标记AM的照射光斑SP的直径可以稍微小于标记自身的宽度。
由标记AM衍射的辐射被准直成(在该示例中经由物镜OL)信息携载束IB。术语“衍射”意图包括来自标记的零阶衍射(所述零阶衍射可以被称为反射)。例如属于上文所提及的US6961116中披露的类型的自参考干涉计SRI通过自身干涉束IB,然后该束被光检测器PD接收。可以包括额外的光学器件(图中未示出)以在由辐射源RSO产生多于一种波长的情况下提供单独的束。光检测器可以是单个元件,或光检测器视需要可以包括多个像素。光检测器可以包括传感器阵列。
在该示例中包括光斑反射镜SM的偏转光学器件也可以用于阻挡从标记反射的零阶辐射,使得信息携载束IB仅包括来自标记AM的更高阶衍射辐射(这对于测量并非必需的,但改进了信噪比)。将强度信号SI供应到处理单元PU。通过区块SRI中的光学处理与单元PU中的运算处理的组合,输出衬底上相对于参考坐标系的X位置及Y位置的值。
所说明的类型的单独测量仅将标记的位置固定于对应于该标记的一个节距的某个范围内。结合该测量来使用较粗略的测量技术,以识别正弦波的哪个周期是包含经标记位置的周期。可以在不同波长下重复较粗略和/或较精细层级下的相同过程,以用于提高准确度和/或用于稳固地检测标记,而与制成标记的材料及供标记提供于上方和/或下方的材料无关。可以光学地多路复用及解多路复用波长以便同时处理该波长,和/或可以通过分时或分频来多路复用该波长。
在该示例中,对准传感器及光斑SP保持静止的同时,衬底W移动。因此,对准传感器可以刚性且准确地安装到参考框架的同时,在与衬底W的移动方向相反的方向上有效地扫描标记AM。在该移动中,通过衬底W安装于衬底支撑件上并且衬底定位系统控制衬底支撑件的移动来控制衬底W。衬底支撑件位置传感器(例如,干涉计)测量衬底支撑件(图中未示出)的位置。在实施例中,一个或更多个(对准)标记被提供于衬底支撑件上。对提供于衬底支撑件上的标记的位置的测量允许校准如由位置传感器确定的衬底支撑件(例如相对于对准系统连接到的框架)的位置。对提供于衬底上的对准标记的位置的测量允许确定衬底相对于衬底支撑件的位置。
包括但不限于上文所提及的散射仪的量测工具MT可以使用来自辐射源的辐射以执行测量。由量测工具MT使用的辐射可以是电磁辐射。辐射可以是光学辐射,例如电磁光谱的红外部分、可见光部分和/或紫外部分中的辐射。量测工具MT可以使用辐射以测量或检查衬底的特性及方面,例如半导体衬底上的光刻曝光图案。测量的类型及品质可以依赖于由量测工具MT使用的辐射的若干特性。例如,电磁量测的分辨率可以依赖于辐射的波长,其中,例如由于衍射限制,较小的波长能够测量较小的特征。为了测量具有较小尺寸的特征,可以优选地使用具有较短波长的辐射,例如EUV、硬X射线(HXR)和/或软X射线(SXR)辐射,以执行测量。为了在特定波长或波长范围下执行量测,量测工具MT需要访问提供在那种/那些波长下的辐射的源。存在用于提供不同波长的辐射的不同类型的源。依赖于由源提供的波长,可以使用不同类型的辐射产生方法。对于极紫外(EUV)辐射(例如,1nm至100nm,和/或软X射线(SXR)辐射(例如0.1nm至10nm),源可以使用高阶谐波产生(HHG)或逆康普顿散射(ICS)以获得在期望的(多种)波长下的辐射。
图9示出照射源310的实施例600的简化示意图,该照射源可以是用于高阶谐波产生(HHG)的照射源。关于图6所描述的量测工具中的照射源的特征中的一个或更多个特征也可以在适当时存在于照射源600中。然而,该照射源可以用于在图4至图8的量测装置中的任何一个中提供测量照射。
照射源600包括腔室601并且配置成接收具有由箭头指示的传播方向的泵浦辐射611。此处所示出的泵浦辐射611是来自泵浦辐射源330的泵浦辐射340的示例,如图6所示。泵浦辐射611可以经由辐射输入端605而被引导到腔室601中,该辐射输入端605可以是可选地由熔融石英或可比的材料制成的检视端口。泵浦辐射611可以具有高斯式或中空(例如,环形)的横向横截面轮廓并且可以入射(可选地聚焦)于腔室601内的气流615上,该气流具有由第二箭头指示的流动方向。气流615包括其中气体压力高于某个值的较小体积(例如,数立方毫米)的特定气体(例如惰性气体,可选地是氦气、氩气、氙气或氖气、氮气、氧气或二氧化碳)。气流615可以是稳定流。也可以使用其他介质,诸如金属等离子体(例如,铝等离子体)。
照射源600的气体传递系统被配置成提供气流615。照射源600被配置成将泵浦辐射611提供于气流615中以驱动发射辐射613的产生。其中产生发射辐射613的至少一大部分的区域被称为相互作用区域。该相互作用区域可以从数十微米(用于紧密地聚焦的泵浦辐射)变化至数毫米或厘米(用于适度地聚焦的泵浦辐射)或甚至高达数米(用于极其松散地聚焦的泵浦辐射)。可选地,气流615通过气体传递系统被提供到抽空或几乎抽空的空间中。气体传递系统可以包括气体喷嘴609,如图6所示,该气体喷嘴包括在该气体喷嘴609的出口平面中的开口617。由开口617提供气流615。在几乎所有现有技术中,气体喷嘴具有截断管几何结构形状,截断管几何结构形状是均匀的圆柱内部几何结构形状,并且出口平面中的开口的形状为圆形。如专利申请案CN101515105B中描述的,也已经使用细长开口。
气体喷嘴609的尺寸可以想象地也可以用于范围介于微米级尺寸喷嘴至米级尺寸喷嘴的按比例增大或按比例缩小的版本中。该宽广范围的尺寸标定来自如下事实:可以按比例调整布置,使得气流处的泵浦辐射的强度最终处于可以对发射辐射有益的特定范围内,这需要针对可以是脉冲激光的不同泵浦辐射能量的不同尺寸标定,并且脉冲能量可以在数十微焦耳至数焦耳之间变化。可选地,气体喷嘴609具有较厚的壁以减少由可以由例如相机检测到的热膨胀效应引起的喷嘴变形。具有较厚的壁的气体喷嘴可以产生具有减少的变化的稳定气体体积。可选地,照射源包括接近于气体喷嘴以维持腔室601的压力的气体捕获器。
由于泵浦辐射611与气流615的气体原子的相互作用,气流615将使泵浦辐射611的一部分转换成发射辐射613,该发射辐射可以是图6中示出的发射辐射342的示例。发射辐射613的中心轴线可以与入射泵浦辐射611的中心轴线共线。发射辐射613可以具有在X射线或EUV范围内的波长,其中,波长在从0.01nm至100nm、可选地从0.1nm至100nm、可选地从1nm至100nm、可选地从1nm至50nm或可选地从10nm至20nm的范围内。
在操作中,发射辐射613束可以穿过辐射输出端607,并且可以随后通过照射系统603操控及引导到待检测以用于量测测量的衬底,照射系统603可以是图6中的照射系统312的示例。发射辐射613以被引导(可选地被聚焦)到衬底上的目标。
因为空气(和实际上任何气体)很大程度上吸收SXR或EUV辐射,所以气流615与待检测的晶片之间的体积可以被抽空或几乎抽空。由于发射辐射613的中心轴线可以与入射泵浦辐射611的中心轴线共线,所以泵浦辐射611可能需要被阻挡以防止泵浦辐射611穿过辐射输出端607及进入照射系统603。这可以通过将图6中示出的滤波装置344合并到辐射输出端607中来进行,该辐射输出端放置于发射辐射的束路径中并且对于泵浦辐射不透明或几乎不透明(例如,对红外线或可见光不透明或几乎不透明),但对于发射辐射束至少部分地透明。可以使用在多个层中组合的锆或多种材料来制造滤波器。当泵浦辐射611具有中空(可选地环形)的横向横截面轮廓时,滤波器可以是中空(可选地环形)块体。可选地,滤波器不垂直且不平行于发射辐射束的传播方向,以具有高效泵浦辐射滤波。可选地,滤波装置344包括中空块体及诸如铝(Al)或锆(Zr)膜滤波器的薄膜滤波器。
本文中描述了用于获得可选地在泵浦辐射的高阶谐波频率下的发射辐射的方法、设备及组件。经由过程(可选地使用非线性效应以产生在所提供泵浦辐射的谐波频率下的辐射的HHG)产生的辐射可以作为辐射提供于量测工具MT中以用于衬底的检查和/或测量。衬底可以是经光刻图案化的衬底。经由过程获得的辐射也可以被提供于光刻设备LA和/或光刻单元LC中。泵浦辐射可以是脉冲式辐射,所述脉冲式辐射可以在短时间脉冲(burst)内提供高峰值强度。
泵浦辐射611可以包括具有高于发射辐射的一种或更多种波长的一种或更多种波长的辐射。泵浦辐射可以包括红外辐射。泵浦辐射可以包括具有在800nm至1500nm的范围内的(多种)波长的辐射。泵浦辐射可以包括具有在900nm至1300nm的范围内的(多种)波长的辐射。泵浦辐射可以包括具有在100nm至1300nm的范围内的(多种)波长的辐射。泵浦辐射可以是脉冲式辐射。脉冲式泵浦辐射可以包括具有在飞秒范围内的持续时间的脉冲。
对于一些实施例,发射辐射(可选地高阶谐波辐射)可以包括具有泵浦辐射波长的一个或更多个谐波。发射辐射可以包括在电磁光谱的极紫外(EUV)、软X射线(SXR)和/或硬X射线(HXR)部分中的波长。发射辐射613可以包括在0.01nm至100nm的范围内的波长。发射辐射613可以包括在0.1nm至100nm的范围内的波长。发射辐射613可以包括在0.1nm至50nm的范围内的波长。发射辐射613可以包括在1nm至50nm的范围内的波长。发射辐射613可以包括在10nm至20nm的范围内的波长。
诸如以上所描述的高阶谐波辐射的辐射可以被提供为量测工具MT中的源辐射。量测工具MT可以使用源辐射以对由光刻设备曝光的衬底执行测量。该测量可以用于确定衬底上的结构的一个或更多个参数。相比于使用较长波长(例如,可见光辐射、红外辐射),使用在较短波长下(例如在如上文所描述的波长范围内所包括的EUV、SXR和/或HXR波长下)的辐射可以允许通过量测工具分辨结构的较小特征。具有较短波长的辐射(诸如EUV、SXR和/或HXR辐射)也可以更深地穿透到诸如经图案化衬底的材料中,这意味着衬底上的较深层的量测是可能的。具有较长波长的辐射不可能到达这些较深的层。
在量测工具MT中,可以从辐射源发射源辐射并且将源辐射引导到衬底上的目标结构(或其他结构)上。源辐射可以包括EUV、SXR和/或HXR辐射。目标结构可以反射、透射和/或衍射入射于目标结构上的源辐射。量测工具MT可以包括用于检测衍射辐射的一个或更多个传感器。例如,量测工具MT可以包括用于检测正一(+1)及负一(-1)衍射阶的检测器。量测工具MT也可以测量镜面反射的辐射或透射的辐射(0阶衍射辐射)。用于测量的其他传感器可以存在于量测工具MT中,例如以测量其他衍射阶(例如,较高衍射阶)。
HHG源可以包括紧凑型的高亮度类激光源,紧凑型的高亮度类激光源自然地产生例如可以在8nm至20nm的波长范围内的短波长光的梳状光谱。此类波长适度地穿透(数百纳米)至工业上相关的叠层中,同时仍充分反射以允许开发出高生产量、高度敏感量测和/或检查器具。由HHG源产生的宽光谱为用于测量应用的尤其有用的特性,这是由于由此波长范围内的许多相关材料表现的高度依赖波长的对比度。所有这些特性使得HHG源适合于例如对依分辨率特征(具有与实际产品相似尺寸的特征,所述特征包括对实际产品的量测)的显影后(例如,重叠)量测(即,在蚀刻之前对经曝光的抗蚀剂图案的量测);以及直接边缘放置误差(EPE)测量。
图10示出常规哈特曼类型波前传感器(不具有光谱分辨率)的操作原理。孔径阵列1002定位于辐射束的路径中,并且可选地,图像传感器1004定位于检测平面中。检测器可以放置于检测平面中,例如CCD或CMOS图像传感器,以用于检测在掩模之后的辐射。孔径阵列1002包括例如具有在由维度X及Y限定的平面中以规则阵列形式隔开的孔径1006的金属板。在Z方向上标称地接近波前传感器的辐射穿过该孔径阵列,并且因此在检测平面上形成光斑1008的阵列,可选地,在也在X-Y平面中定向的图像传感器1004上形成光斑1008的阵列。如果辐射束具有理想的平坦波前,使得辐射束仅包括完全同相位且与Z轴完全对准的平行射线,则每个光斑将确切地形成于对应孔径1006后方。另一方面,真实的束将具有某种形式的倾斜和/或曲率,诸如附图中说明的凸形波前1010。在那种情况下,波前在孔径阵列中的每个位置处以特定方式倾斜,并且对应光斑1008将形成于检测平面上,可选地形成于图像传感器1004上,并具有依赖于所述倾斜的位置偏差。即使在光斑的绝对“零偏差”位置是未知的时,也可以观测及测量相对位置偏差。
在1004a处示出图像传感器1004的放大部分,示出一个光斑1008(空心圆)并且还示出该光斑的参考位置1008r(实心圆)。图像传感器1004包括光检测器组件(像素)阵列,光检测器组件(像素)阵列具有足够的空间分辨率(足够的像素密度),所述空间分辨率使得对于孔径阵列中的每个孔径1006,可以检测并且在两个维度上量化实际光斑1008与参考位置1008r的偏差1012。波前处理器1020从图像传感器1004接收图像数据并且输出波前信息1022。将通常通过对合适的可编程处理器编程来实施波前处理器1020,并且波前处理器1020可以例如在多个阶段中操作。第一处理阶段1024分析来自图像传感器1004的图像以检测光斑1008的位置。第二阶段1026比较光斑1008的位置与光斑1008的参考位置1008r以确定孔径阵列中的每个位置处的波前1010的局部倾斜。第三阶段1028对局部倾斜阵列进行积分及内插以获得整个波前的模型。该波前模型可以作为波前信息的示例而输出。波前模型可以例如使用泽尼克或其他多项式表示来表示为局部倾斜向量的阵列,和/或表示为参数化模型。
此类以HHG为基础的量测工具能够执行经聚焦的宽带SXR束至感兴趣的小目标(例如,5μm至20μm)上的精细对准,其中,仅容许<1%的能量在目标能量范围外。弧形反射镜可以用于聚焦此类束,通常以相对较低的缩小率对SXR源重新成像。因此,目标上的焦斑品质可以对这些弧形反射镜的精细对准以及SXR源品质自身两者非常敏感。
用于表征HHG源或目标平面处的焦点的任何波前传感器应该测量用于每种谐波波长的波前。不同的颜色可以具有不同的波前。由于同时测量所有波长是重要的,所以使源带宽变窄(例如,利用光谱滤波器或多层反射镜)并且使用窄带波前感测技术是不够的。这是因为HHG过程在源中自然地产生显著的依赖波长的变化。例如,由于不同颜色的产生点可能不同,所以虚源位置可以可选地在束传播方向上变化数毫米。为了能够优化目标上的聚焦,可以测量所有这些波前。另外,估计具有次微米敏感度的源的焦斑特性规定了较高的空间分辨率。
本文中将描述波前传感器的设计,例如用于在远场中、在任何聚焦光学器件之前或在聚焦光学器件之后在近场中测量源波前。波前传感器的另一个应用是测量由衬底散射的辐射的波前。利用该工具,可以测量及控制SXR仪器(或输出任何其他波长带的SXR仪器)的源品质及精细对准(例如,经由可变形反射镜)。具有能够快速提供该波前信息的波前传感器意味着可以在反馈回路中修改诸如以下各项中的一项或更多项的源参数:气体射流压力、相对于泵浦辐射焦点的位置及泵浦辐射焦点形状(在使用可变形反射镜或空间光调变器SLM的情况下),以用于输出束的自适应控制。波前传感器能够测量宽带和/或窄带辐射。
测量例如EUV和/或SXR光谱区域中的波前是具有挑战性的,这是由于大多数材料对这些波长的吸收率高以及难以制造合适的聚焦光学器件。EUV/SXR体系中的已知途径是使用哈特曼传感器(它是孔径的阵列)来测量局部相位梯度。例如在Mercère等人的Opt.Lett.28,1534(2003年)、Künzel等人的Appl.Opt.54,4745(2015年)及专利申请案EP1415133A1(这些文件中的每一个均以引用的方式并入本文中)中描述了此类传感器。EUV体系中的另一个已知途径是称为相移点衍射干涉法的干涉技术(例如,Naulleau等人的Appl.Opt.38,7252(1999年)中描述的,该文件以引用的方式并入本文中)。两种最新的非标准技术包括基于通过扫描缝隙在整个束剖面中所测量的单个缝隙衍射的技术(Frumker等人的Opt.Lett.34,3026(2009年)中描述的,该文件以引用的方式并入本文中),以及使用两个相同束之间的干涉图案并且通过侧向剪切算法重构造波前的技术(Austin等人的Opt.Lett.36,1746(2011年)中描述的,该文件以引用的方式并入本文中)。此外,在以引用的方式并入本文中的专利申请案EP3410211A1中披露了使用被称为光谱哈特曼掩模(SHM)的掩模并且允许在单个相机曝光中测量多个EUV波长的另一个波前传感器。
对于利用较高空间分辨率及光谱敏感度两者进行的束表征,上述方法中没有一个是足够的。哈特曼掩模及干涉方法不具有光谱敏感度。此外,空间分辨率在哈特曼掩模的情况下通过孔径之间的距离被限制为数十微米,并且对于干涉方法通过参考孔径的尺寸限制(也通常为数微米以维持足够的生产量)。
缝隙扫描光谱仪缓慢且低效,这是因为缝隙扫描光谱仪在一系列测量点中的每一个点中仅对束的一部分进行取样,排除在反馈回路中的在线使用。重要地,缝隙扫描光谱仪一次也仅测量1D波前,由此排除了表征诸如散光的波前不对称性的可能性(除非整个检测系统旋转超过90度)。由于泵浦激光中的散光,散光可以易于写入到HHG束上,或散光可以易于通过离轴的弧形聚焦光学器件(例如,Kirkpatrick-Baez反射镜或环形反射镜)的较小的对准不良而强加,并且因此它的测量可以是有用的。
虽然SHM传感器快速且具有较高的光谱灵敏度,但是由于孔径之间的距离而遭受较低的空间分辨率,所以该距离大于常规哈特曼(CM)掩模的距离。另外,虽然CM和SHM都表现为明确地追踪从单独的孔径的衍射所需的相对较低的填充因子,但是引起较低的通量效率。
总之,所有方法共同具有的主要问题为:1)所有方法未经优化以用于联合空间的及光谱的敏感度(并且总是存在权衡);2)所有方法未经优化以用于测量生产量(有效地使用可用的通量及检测器带宽);和3)所有方法的空间分辨率直接受到掩模中的结构的尺寸或结构之间的距离而非检测NA限制。
提出可以结合叠层成像术或任何其他合适的相位获取技术而使用的一类优化掩模设计,所述优化掩模设计表征HHG束中的所有谐波的波前(例如,在λ=9nm至30nm的波长范围内,但不限于此范围)并且可以在毫米尺度视场中具有次微米横向分辨率。本文中披露的概念可以在结合叠层成像术来使用时体现于掩模设计优化中,所述掩模设计优化针对在波前及光谱敏感度方面的优化性能。
掩模包括与辐射相互作用的图案。波前感测误差指标可以用于优化掩模以用于波前感测。波前感测误差指标可以是用于波前感测误差的实际量度的统计代理。例如,统计代理可以是熵相关参数,可选地是作为掩模的图案的熵与辐射检测器/检测平面上的辐射检测图案的熵的组合的参数,可选地是真实空间熵与倒易空间熵的组合的参数,下文中是组合式空间熵指标的参数。可以在归一化尺度上评估部分不透明的掩模,其中,具有高于某个阈值的波前感测误差指标的值的掩模被视为将在本公开内容的范围内优化。图11是包括根据本发明的实施例的波前传感器布置的用于波前测量的布置。作为一个示例,图11示出EUV/SXR叠层成像波前传感器的主要元件,该传感器可以使用可移动掩模及检测平面中的检测件(可选地是2D检测器)来表征源(可选地是HHG源)的波前。波前传感器的组件(或被称为子系统)可以包括掩模及检测平面。照射于掩模上的辐射形成辐射检测图案。辐射的辐射检测图案产生于检测平面上或辐射检测器上。在一个示例中,HHG辐射产生于发射由泵浦激光(图中未示出)激发的HHG介质的射流J区域中。针孔PH、光谱滤波器SF、折叠反射镜FM、掩模MA(在2D扫描阶段)及相机或检测器DET位于发射辐射的束路径BP中。应当注意,折叠反射镜FM、针孔PH及光谱滤波器SF是可选的,并且本文中披露的概念可以在没有这些元件中的一些或全部的情况下执行。基本实施例可以仅使用入射于掩模MA(可选地可移动掩模、可选地可移动2D掩模)以及检测平面(可选地透射或反射中的相机/检测器DET)上的宽带或HHG束。掩模MA可以是透射型或反射型元件。透射型掩模意味着掩模的图案包括具有辐射的不同透射率的两个区域,而反射型掩模意味着掩模包括具有辐射的不同反射率的两个区域。尽管在本文中可以特定地参考透射型(或反射型)元件的使用,但是应当理解,本文中描述的实施例也可以用于反射型(或透射型)元件。在反射型实施例中,掩模MA可以用于离轴反射模式几何结构中。掩模MA可以(即,在透射型实施例中)包括具有放置成满足光谱分辨波前感测(下文更详细地解释)的条件的孔径的部分不透明掩模。可以以受控方式通过束来扫描该掩模MA,其中,衍射图案被记录于检测平面中,可选地被记录于用于每个掩模位置的检测器DET上。叠层成像术或其他相位获取方法/算法可以处理所测得的衍射数据以获取波前信息。
原则上,扫描常规的哈特曼掩模将产生改进的空间分辨率,然而,常规的哈特曼掩模由于其空间周期性而具有较差的空间及光谱分辨率。为了理解所提议的波前掩模设计途径,将以数学方式描述及公式化优化目标。
定性地,期望掩模具有以下特性:
·具有显著的透射/反射面积以最大化透射/反射通量,
·有效利用所有可用的检测器像素及其全动态范围,
·具有对空间波前变化的较高敏感度,
·具有分离多个波长分量的能力,并且
·满足一组超取样条件(下文描述)以实现在充分的分辨率下的波前重构造;例如经由实现来自波前传感器的入射束的盲解卷积的无透镜成像或数据驱动重构造算法,诸如叠层成像术。
发明人已经发现,可以针对具有图案的掩模最佳地满足所有这些特性,该掩模包括使(例如,经归一化的)真实空间的空间熵及倒易空间的空间熵的组合(例如,总和或乘积)最大化的数学特性。该组合在本说明书中被称为组合式空间熵指标。现有的掩模仅包括有限范围的组合式空间熵指标值。本文中披露了掩模、用于此类掩模的波前传感器布置及优化方法,所述掩模包括/优化掩模图案使得掩模具有高于某个阈值的组合式空间熵指标值。应当注意,因为通过掩模设计及检测器平面上的图案两者确定组合式空间熵指标值,所以当提及“用于掩模的组合式空间熵指标”时,也涉及检测平面。因此,至少部分地基于辐射检测图案的要求而设计掩模的图案。该要求可以包括熵相关参数高于某个值,所述参数可选地是作为掩模的图案的熵与辐射检测器/检测平面上的辐射检测图案的熵的组合的参数,可选地是真实空间熵与倒易空间熵的组合的参数、可选地是组合式空间熵指标的参数。
现在将描述真实空间熵及倒易空间空间熵的概念以及真实空间熵及倒易空间空间熵如何影响波前传感器设计。在物理学中,物质在空间中均匀散开的范围经常通过其差分真实空间熵来量化。最小空间熵指示单个点处的浓度,而当物质在所考虑的域中的所有点上均匀地分布时实现最大熵。为了实现具有显著开放区域的特性,掩模可以具有最大真实空间熵以实现透射通过掩模的最大通量。同时,需要分配从掩模散射的信号使得所述信号在所有相机像素上均匀地分布,以最大化在单个衍射图案中测量的总记录光子通量。因此,除了最大化掩模的真实空间的空间熵以外,还旨在最大化相机上的图案的空间熵。检测位置上的图案(可选地相机上的图案)是掩模处的图案的傅里叶变换,并且它的熵被称为倒易空间的空间熵。为了有效地利用所有可用的检测器像素/动态范围,也可以最大化倒易空间熵。然而,最大化真实空间熵会影响倒易空间熵,并且反之亦然。作为示例,通过具有内接至所允许的域中的最大直径的开放圆形孔径来实现在整个圆形域上的最大真实空间的空间熵。然而,这导致不良的散射特性,其中,大部分衍射信号集中于衍射图案的中心,不良的散射特性是通过非常低的倒易空间熵而量化的。将要观测到的是饱和中心衍射峰值,而离轴相机像素记录很少的光子,从而导致用于较高的空间频率信号估计的不良信噪比。相似地,在类点源掩模的限制下,产生球面波,从而导致最大的倒易空间熵,同时具有最小的空间熵。
现在将提供上文所论述的真实空间熵及倒易空间熵的数学定义。在一个实施例中,掩模函数(可选地,二元型掩模函数或几乎二元型掩模函数)是非连续结构。例如,高斯型掩模是连续掩模并且具有为1的值,高斯型掩模并非用于波前量测的良好掩模。在本文中,二元型掩模函数m(x)用作示例,对于该示例,二元型掩模函数m(x)在掩模为透射型的地方等于恒定值A,并且在掩模为不透明的地方等于0,其中,常数A被选择为使得m(x)被归一化,使得∑x∈D|m(x)|2·(Δx)2=1。然后,真实空间微分熵Sreal可以被定义为:
Sreal=-∑x∈D|m(x)|2·ln(|m(x)|2)·(Δx)2 (1)
其中,1n是自然对数,x=(x1,x2)∈D是针对m(x)为非零的所有二维位置坐标的简化符号,Δx=L/N是真实空间像素尺寸。这假定了在计算机表示中,包含二元型掩模的所有非零元素的视场均具有尺寸L并且通过N个像素而离散化。Δx被包括于微分熵的定义中以便将熵值归一化,因此使得能够在一致的尺度上且独立于特定的离散化来比较不同的波前传感器设计。
相似地,倒易空间差分Sreciprocal可以被定义为:
Figure BDA0004098001690000301
其中,Δq=1/L,并且
Figure BDA0004098001690000302
是m(x)的二维空间傅里叶变换。此处,在倒易空间中的傅里叶变换为非零的整个区域/>
Figure BDA0004098001690000303
上求和,并且/>
Figure BDA0004098001690000304
波归一化,使得
Figure BDA0004098001690000305
可以在一致的尺度上将熵归一化,以便比较具有不同形状及尺寸的掩模的空间熵。为此目的,可以使用熵-方差不等式(例如,如描述于Shannon的C.E.(1948年)、Amathematical theory of communication(通信的数学理论)、贝尔系统技术期刊27(3),379-423;该文献以引用的方式并入本文中);该熵-方差不等式由下式表达:
Figure BDA0004098001690000306
其中,X是空间随机变量,S表示如上文所定义的熵(Sreal或Sreciprocal),并且Var[X]表示随机变量X的第二(空间)力矩。这可以被重写为:
Figure BDA0004098001690000307
提议使用此上限来将熵归一化至一致的尺度:
Figure BDA0004098001690000308
掩模及其傅里叶变换可以各自分别被视为真实空间及倒易空间中的二变量随机变量X、Y及Qx、Qy。因而,可以执行由等式(5)描述的归一化处理以获得用于对应于真实及倒易空间微分熵的空间随机变量中的每一个的归一化熵,即:
Snorm=Snorm,X[X],Snorm,Y[Y],Snorm,Qx[Qx],Snorm,Qy[Qy]。
进一步提议在每个随机变量中使用这些归一化熵的组合作为组合式空间熵指标(或波前感测误差指标),以在一致的尺度上评估掩模的总熵。例如,可以使用这些归一化熵的乘积。因此,本文中披露的方法包括优化二元型掩模(即,优化包括二元型图案的掩模的二元型图案)使其具有最大化的组合式空间熵指标,或至少高于阈值的组合式空间熵指标。本文中披露的设备包括此类掩模及包括此类掩模的波前传感器器件。
在特定示例中,组合式空间熵指标可以是每个随机变量中的归一化熵的乘积Snormprod,并且阈值可以是0.8;即,以数学方式表达为:
Snormprod=Snorm,X[X]Snorm,Y[Y]Snorm,Qx[Qx]Snorm,Qy[Qy]≥0.8 (6)
常规的哈特曼掩模及其他现有技术的掩模具有低于该阈值的等效组合式空间熵指标。在其他实施例中,该阈值可以是0.83、0.85、0.88或0.9。
用于波前感测的最优掩模包括较高的真实空间熵与较高的倒易空间熵的组合的原理源自该组合优化掩模透射及检测效率两者的观测结果。
图12是针对作为波前传感器的若干二元型掩模的作为直接波前感测误差指标的均方根波前感测误差k(第一y轴——实线)及组合式空间熵指标CSE或所提议的(代理)波前感测误差指标(第二y轴——点线)的标绘图。该标绘图描述了这些掩模中的每个掩模的(所仿真的)性能。掩模包括:具有已知的二元型图案的五个已知的掩模的第一群组:单个孔径或针孔PH、常规的哈特曼掩模HM、交叉的光栅掩模CG、光谱哈特曼掩模SHM、螺旋分区板掩模ZP;以及具有根据本文中披露的概念优化的二元型图案的掩模的第二群组:随机化孔径掩模RA、准晶体孔径阵列掩模QC及包括使用贪婪搜索算法GD而优化的孔径阵列的掩模。
假定EUV光谱具有在10nm至20nm的范围内的9个谐波(具有15nm半高全宽的高斯型光谱包络线),所说明的数据是关于图11的实验布置的仿真。两个标绘图示出了组合式空间熵指标CSE(点线)与均方根波前感测误差(实线)之间的相关性。均方根波前感测误差描述了通过叠层成像测量获得的波前重构造的准确度,如通过以下所定义的k的值所量化的。标绘图说明了组合式空间熵指标的值越高,经重构造的波前就越准确。具体地,具有较高的组合式空间熵指标(高于阈值CSEth)的三个新的掩模设计RA、QC、GD实现利用常规掩模设计不可能实现的准确度。
光谱均方根波前感测误差E(λ)(rmsWFSE)可以被定义为:
Figure BDA0004098001690000321
可以使用如下关系将具有弧度单位的E(λ)转换成波长(δW)的单位:
Figure BDA0004098001690000322
因此,图12中的左侧y轴上的k的较高值指示较高的波前感测准确度,或等效地指示λ/k的量级的较低平均光谱波前估计误差。(实)线上的每个点示出在针对每个相应的波前传感器仿真的所有数据集及波长上被平均的rmsWFSE。阈值CSEth对应于等于0.8的组合式空间熵指标(如等式(6)中描述的);即,在该线上方或在该线上的任何点均对应于Snormprod≥0.8。基于rmsWFSE与组合式空间熵指标的所观测到的相关性,提议使用组合式空间熵指标作为统计代理来估计rmsWFSE。
由图12所概述的仿真支持如下观测结果:具有最大组合空间熵的掩模会产生优化的波前重构造品质。然而,如已经提及的,待在波前传感器设计中考虑的另一个考虑因素是取样考虑因素。二元型掩模中的每一组两个针孔在相机平面中产生正弦信号,并且所有这些正弦信号的相干叠加在检测器平面中合成电场。掩模平面(真实空间)中的每组两个针孔之间的分离距离越远,检测器平面中的空间条纹周期就越小,从而承受对衍射强度取样不足的风险。
图13说明对由具有侧向尺寸FOV的掩模M(x,y)产生的衍射强度进行取样所需的结果取样条件。可以在无混叠的情况下在检测器平面DET(qx,qy)处检测到的最大波前曲率是每掩模分辨率元素Δx的等于π的相移。然后,距源点SP的距离z1结合掩模平面处的空间分辨率及视场(FOV)确定在HHG源位置SP处的可实现的空间分辨率。更具体地,在离散化之后的取样要求为:
Δx=λminz/D;Δq=λminz/FOV
FOV<λminz/Δq (9)
其中,λmin是最短波长(所述最短波长确定可允许的FOV;即,允许其透射率或反射率为非零的最大范围),并且z是样本到相机的距离。这示出了波前传感器的FOV受到限制;波前传感器可以小于最小波长与除以检测器像素尺寸的波长传感器到检测器的距离的乘积(以符合遵循香农-奈奎斯特(Shannon-Nyquist)定理的取样限制)。
从图12可以看到,产生最高rmsWFSE的叠层成像重构造算法是基于贪婪熵最大化算法而使用掩模的算法。该算法可以包括以下步骤:
a)以在随机位置处包括孔径的掩模开始。
b)选择该掩模的第n个孔径。
c)通过确定该第n个孔径在其目前位置中的值并移动至其他相邻位置(例如,一个像素的北/东/南/西中的每一个)来评估掩模的组合式空间熵指标。
d)选择新的孔径位置作为产生由步骤c)产生的五个可能的掩模的最高总熵的孔径位置。
e)将n增加1并返回到步骤b)。
除了上文所描述的贪婪掩模以外,还在图14中说明了在本公开内容的范围内的两个其他示例性掩模。图14(a)说明了准晶体掩模图案,该准晶体掩模图案是有序的但在平移下是非周期性的。该特定示例具有位于具有增加的直径的同心圆上的所有孔径,但仅是许多可能的QC中的一个示例。图14(b)说明了可以在设计规则将掩模设计选项限定为仅包括包含水平矩形及竖直矩形的矩形的那些掩模设计的情况下使用的掩模图案。熵最大化设计方法可以用于识别具有合适的散射特性的掩模。
相比于其他波前感测方法,在叠层成像术或相关量测方法中包括用于组合式空间熵指标的较高值(高于如所描述的阈值)的掩模提供优于现有技术(诸如哈特曼掩模)的性能。组合式空间熵指标是任何二元型波前传感器的固有特性,这是因为组合式空间熵指标仅依赖于掩模自身的数学描述(及其傅里叶变换)。可以相信的是,根据该原理设计的任何掩模在敏感度及测量效率(每个检测到的光子数目的准确度)中的一个或两个方面将比现有的掩模更好地起作用。
波前传感器可以支持对辐射源装置的改进式监测及控制。当量测设备依赖于由装置产生的辐射束的品质时,波前传感器可以支持对测量的较准确的计算。例如,在大量制造中,足够快速地测量以提供关于重叠测量的时间标度的完整的EUV束信息。
虽然本公开内容的原理可以应用于任何波长范围,但是本公开内容的原理特别适用于其中源稳定性需要发展并且其中诸如干涉法的光学波前感测方法存在问题的EUV/软X射线区域中。
在不偏离原理的情况下,可以使掩模或孔径阵列具有透射性或反射性,并且因此例如离轴反射模式几何结构是可能的。在反射中起作用的变型例可以包括例如掠入射锥形衍射配置。这在可制造性及稳固性方面具有优点,并且为衍射几何结构及对比度提供完全不同的参数空间。在C.L.Porter等人的“General-purpose,wide field-of-viewreflection imaging with a tabletop 13nm lightsource(利用桌面上的13nm的光源的通用宽视场反射成像)”(Optica 4,No.12,1552-1557(2017))的论文中提供了如何以反射模式实现超取样条件。在C.Braig、L.Fritzsch、T.
Figure BDA0004098001690000341
E.-B.Kley、C.Laubis、Y.Liu、F.Scholze和A.Tünnermann的“An EUV beamsplitter based on conical grazingincidence diffraction(基于锥形掠入射衍射的EUV分束器)”(Opt.Express 20,1825-1838(2012))论文中提供了对掠入射中的EUV辐射的锥形衍射的分析。应当注意,确定反射模式中的波前传感器的所允许的视场的超取样条件不像上文所描述的超取样条件(不等式(9))那样简单,并且将依赖于入射角。可以在参考文献(以引用的方式并入本文中):C.L.Porter等人的“General-purpose,widefield-of-view reflection imaging with atabletop 13nm lightsource(利用桌面上的13nm的光源的通用宽视场反射成像)”(Optica4,No.12,1552-1557(2017))中发现更多细节。
波前处理的实施可以是直接的。通过所选择的数值方法使对应于单个波长的衍射光斑局部化,在此之后,每个光谱分量的波前重构造可以经由用于哈特曼/夏克-哈特曼(Shack-Hartmann)波前传感器的所建立的常规重构造例程继续进行。用于波前感测的概念可以包括关于图10所描述的概念。
使用本文中披露的概念获得的改进的波前信息可以用于例如基于所测得的波前而改进辐射源特性(例如,在反馈方法中),或用于调整下游光学器件以补偿所测得的波前缺陷(例如,在前馈方法中)。
可选地,例如如果期望的光谱范围及光谱分辨率要求各个孔/光栅之间的较大间距,则能够相对于束扫描传感器以提高波前的空间分辨率。与其他扫描配置相比,波前传感器的固有空间分辨率意味着所需的扫描范围较小(约为孔径之间的距离)。
通过孔径阵列对预期的衍射建模将扩展表征复杂波前及复杂光谱的能力。例如,理解每个光斑的形状(每个光斑的形状与孔径的形状相关),从而允许更精确地确定中心位置。
上文所提及的实施例可以用于图11中。在适当时,关于图11所描述的实施例的特征中的一个或更多个特征也可以存在于上文所提及的实施例中。
本公开内容的原理可以应用于EUV、SXR和/或HXR源,诸如高阶谐波产生源、液体金属射流源、逆康普顿散射(ICS)源、等离子体通道源、磁波荡器源及自由电子激光(FEL)源,并且也可以应用于较不相干的源,诸如(经空间滤波的)等离子体放电。
在应用于量测时,所测量的目标结构可以是出于测量的目的而特别设计及形成的量测目标。在其他实施例中,可以对作为形成于衬底上的器件的功能性部分的目标测量特性。许多器件具有类似于光栅的规则周期性结构。如本文中使用的术语“目标”、“光栅”或目标的“周期性结构”无需使已经针对正在被执行的测量特定地提供适用的结构。另外,虽然量测目标的节距P接近于测量工具的光学系统的分辨率极限,但是可以比目标部分C中通过图案形成过程制得的典型产品特征的尺寸大得多。实际上,光栅的特征和/或空间可以被制造成包括在尺寸方面与产品特征相似的较小结构。
照射源可以被提供于例如量测设备MT、检查设备、光刻设备LA和/或光刻单元LC中。
用于执行测量的发射辐射的特性可以影响所获得的测量的品质。例如,辐射束的横向束剖面(横截面)的形状及尺寸、辐射的强度、辐射的功率频谱密度等可以影响通过辐射执行的测量。因此,有益的是,具有提供具有产生高品质测量的特性的辐射的源。
尽管可以在本文中特定地参考在二元型掩模的内容背景中的实施例,但是实施例可以用于包括可以是反射型或透射型掩模的近似二元型掩模的其他掩模中。透射型近似二元型掩模被定义为使得透射区域具有除了1之外的透射率,可选地从0.1至1、可选地从0.5至1、或可选地从0.75至1的透射率。例如,透射型近似二元型掩模的不透明部分包括金属,并且透射部分包括对EUV显著透明的氮化硅隔膜。反射型近似二元型掩模被定义为使得其中衬底具有较低反射率(例如,AR涂层,或具有较低反射率的材料),而反射型掩模特征具有较高反射率。近似二元型掩模可以是反射型掩模,其中,辐射由掩模反射。因为没有衬底具有零反射率,所以反射型掩模是近似二元型掩模。
上文所提及的实施例也可以用于光刻设备中,所述光刻设备可选地是具有极紫外(EUV)源的EUV光刻设备,其中,使用波长小于20nm(例如13.5nm)的辐射来执行印刷。在一个实施例中,波前传感器可以用于测量由EUV源产生的EUV辐射或用于EUV光刻设备中的任何其他辐射的波前。
根据本发明的一方面,提供一种计算机程序产品,该计算机程序产品包括指令,该指令在至少一个处理器上被执行时使该至少一个处理器控制一设备以执行根据本文中描述的任何内容的方法。根据本发明的一方面,提供一种非暂时性计算机程序产品,在该计算机程序产品中包括机器可读指令,该指令被配置成在由计算机系统执行时使该计算机系统至少执行根据本文中描述的任何内容的方法。
在后续编号的各方面中披露了另外的实施例:
1.一种用于测量辐射的波前的波前传感器,包括:
掩模,所述掩模包括位于所述辐射的路径中的图案;和
辐射检测器,所述辐射检测器用于检测在所述掩模之后的所述辐射;
其中,用于所述掩模的归一化的组合式空间熵指标的值等于或大于0.8。
2.如方面1所述的波前传感器,其中,所述组合式空间熵指标包括与由所述掩模透射或反射的所述辐射的分布相关的真实空间熵指标和与所述辐射检测器上的所述辐射的分布相关的倒易空间熵指标的组合。
3.如方面2所述的波前传感器,其中,所述真实空间熵指标及所述倒易空间熵指标分别包括微分熵。
4.如方面3所述的波前传感器,其中,所述组合式空间熵指标包括真实空间中的一对二变量随机变量中的每个变量的归一化真实微分熵与倒易空间中的一对二变量随机变量中的每个变量的归一化倒易微分熵的乘积,其中可选地,真实空间微分熵Sreal被定义为:
Figure BDA0004098001690000371
其中,ln是自然对数,x=(x1,x2)∈D是针对m(x)为非零的所有二维位置坐标的简化符号,Δx=L/N是真实空间的像素尺寸,并且其中可选地,倒易空间差分Sreciprocal可以被定义为:
Figure BDA0004098001690000372
其中,Δq=1/L,并且
Figure BDA0004098001690000373
是m(x)的二维空间傅里叶变换,在倒易空间中的所述傅里叶变换为非零的整个区域/>
Figure BDA0004098001690000374
上求和,并且/>
Figure BDA0004098001690000375
被归一化,使得
Figure BDA0004098001690000376
5.如前述任一方面所述的波前传感器,其中,组合式空间熵指标仅依赖于所述图案的数学描述。
6.如前述任一方面所述的波前传感器,其中,所述掩模被安装为能够相对于所述辐射的源移动。
7.如方面6所述的波前传感器,还包括处理器,所述处理器能够操作以执行相位获取方法,以处理来自所述辐射检测器的测得的衍射数据而获取波前信息,其中可选地,所述相位获取方法是叠层成像术。
8.如前述任一方面所述的波前传感器,其中,用于所述掩模的归一化的组合式空间熵指标的所述值等于或大于0.85。
9.如前述任一方面所述的波前传感器,其中,用于所述掩模的归一化的组合式空间熵指标的所述值等于或大于0.88。
10.如前述任一方面所述的波前传感器,其中,所述图案是根据贪婪组合式空间熵指标最大化算法而限定的。
11.如方面1至9中任一项所述的波前传感器,其中,所述图案是根据准晶体图案而限定的。
12.如方面1至9中任一项所述的波前传感器,其中,所述图案是根据随机化图案而限定的。
13.如前述任一方面所述的波前传感器,其中,所述图案仅包括矩形。
14.一种用于测量辐射的波前的波前传感器,包括:
掩模,所述掩模包括位于所述辐射的路径中以便与所述辐射相互作用的图案;并且
其中,照射于所述掩模上的所述辐射在位于所述掩模之后的辐射检测器上形成辐射检测图案,
其中,所述掩模的所述图案是至少部分地基于所述辐射检测图案的要求而被设计的。
15.如方面14所述的波前传感器,其中,所述掩模被安装为能够相对于所述辐射的源移动。
16.如方面15所述的波前传感器,还包括处理器,所述处理器能够操作以执行相位获取方法,以处理来自所述辐射检测器的测得的衍射数据而获取波前信息。
17.如方面16所述的波前传感器,其中,所述相位获取方法是叠层成像术。
18.如方面14至17中任一项所述的波前传感器,其中,所述图案是根据准晶体图案而限定的。
19.如方面14至17中任一项所述的波前传感器,其中,所述图案是根据随机化图案而限定的。
20.如前述任一方面所述的波前传感器,其中,所述图案仅包括矩形。
21.一种能够操作以产生辐射束的辐射源装置,所述辐射源装置还包括:
如前述任一方面所述的波前传感器;和
处理器,所述处理器用于至少部分地基于所测得的波前而确定所述辐射源装置的和/或所述辐射源装置下游的至少一个光学元件的至少一个操作条件。
22.如方面21所述的辐射源装置,其中,所产生的辐射束包括短于100nm、可选地在5nm至30nm的范围内、以及可选地在10nm至20nm的范围内的波长。
23.如方面21或22所述的辐射源装置,被布置为引起泵浦辐射与介质之间的相互作用,并且由此通过高阶谐波产生而产生作为宽带辐射的所述辐射束。
24.如方面23所述的辐射源装置,还包括控制器,所述控制器用于至少部分地响应于通过所述处理器确定的所述操作条件而自动地调整所述辐射源装置的至少一个操作参数。
25.如方面24所述的辐射源装置,其中,经调整的操作参数是所述泵浦辐射的泵浦源和/或泵浦束传递系统的泵浦操作参数。
26.如方面25所述的辐射源装置,其中,所述泵浦操作参数是脉冲强度或持续时间束宽度、轴向聚焦位置、横向聚焦位置、或波前中的一项或更多项。
27.如方面24或25所述的辐射源装置,其中,所述介质是气体射流,并且经调整的操作参数是对于所述气体射流的气体传递系统的操作参数。
28.一种检查设备,包括用于将检测辐射传递到目标结构的照射系统以及用于检测在与所述目标结构相互作用之后的所述检测辐射的检测系统,并且其中,所述照射系统包括如方面21至27中任一项所述的辐射源装置,所产生的辐射束用作所述检测辐射。
29.如方面21的检查设备,其中,所述检测辐射包括短于100nm、可选地在5nm至30nm的范围内、并且可选地在10nm至20nm的范围内的波长。
30.如方面28或29所述的检查设备,还包括处理装置,所述处理装置用于基于检测到的检测辐射确定所述目标结构的特性。
31.如方面30所述的检查设备,其中,所述处理装置被进一步布置为至少部分地基于所述目标结构的所确定的特性来计算光刻过程的第一性能参数。
32.如方面31所述的检查设备,其中,所述处理装置被布置为进一步基于所述波前传感器的输出而确定所述目标结构的所述特性和/或所述第一性能参数。
33.如方面28至32中任一项所述的检查设备,包括散射仪、对准传感器、或调平传感器中的一项。
34.一种优化用于波前传感器中的掩模的图案的方法;所述方法包括:
根据多个配置确定由所述图案限定的所述掩模的组合式空间熵指标;以及
基于所述组合式空间熵指标选择掩模。
35.如方面34所述的方法,包括:选择具有等于或大于阈值的组合式空间熵指标的掩模。
36.如方面34或35所述的方法,其中,对于归一化的组合式空间熵指标,所述阈值是0.8。
37.如方面34或35所述的方法,其中,对于归一化的组合式空间熵指标,所述阈值是0.85。
38.如方面34或35所述的方法,其中,对于归一化的组合式空间熵指标,所述阈值是0.88。
39.如方面34至38中任一项所述的方法,包括:选择具有最大化的组合式空间熵指标的掩模。
40.如方面34至39中任一项所述的方法,包括:限定所述图案以使所述组合式空间熵指标最大化。
41.如方面40所述的方法,其中,根据贪婪组合式空间熵指标最大化算法来执行所述限定所述图案。
42.如方面34至40中任一项所述的方法,其中,所述图案是根据准晶体图案而限定的。
43.如方面34至40中任一项所述的方法,其中,所述图案是根据随机化图案而限定的。
44.如方面34至43中任一项所述的方法,其中,所述图案仅包括矩形。
45.如方面34至44中任一项所述的方法,其中,所述组合式空间熵指标包括真实空间熵指标与倒易空间熵指标的组合。
46.如方面34至45中任一项所述的方法,其中,所述组合式空间熵指标包括归一化的组合式空间熵指标。
47.如方面46所述的方法,其中,所述真实空间熵指标及所述倒易空间熵指标分别包括微分熵。
48.如方面46或47所述的方法,其中,所述组合式空间熵指标包括真实空间中的一对二变量随机变量中的每个变量的归一化真实微分熵与倒易空间中的一对二变量随机变量中的每个变量的归一化倒易微分熵的乘积。
49.如方面34至48中任一项所述的方法,其中,所述组合式空间熵指标仅依赖于所述图案的数学描述。
50.一种设计用于辐射的波前传感器中的掩模的图案的方法,其中,所述掩模的所述图案是至少部分地基于对所述辐射的辐射检测图案的要求而设计的,并且其中,所述辐射检测图案产生于所述掩模之后的检测平面上。
51.一种非暂时性计算机程序产品,在所述计算机程序产品中包括机器可读指令,所述指令配置成在由计算机系统执行时使所述计算机系统至少执行如方面34至50中任一项所述的方法。
52.具有根据方面34至50中任一项设计的图案的掩模与相位获取方法相结合的用途。
53.具有根据方面52设计的图案的掩模的用途,其中,所述相位获取方法是叠层成像术。
54.一种计算机程序产品,包括机器可读指令,所述机器可读指令使处理器执行如方面34至50中任一项所述的方法。
55.一种用于波前传感器的组件,所述波前传感器包括检测平面及具有图案的掩模,并且其中,用于所述掩模的归一化的组合式空间熵指标具有等于或大于0.8的值。
56.如方面55所述的组件,其中,所述组合式空间熵指标包括与由所述掩模透射的所述宽带辐射的分布相关的真实空间熵指标及与所述检测器上的所述宽带辐射的分布相关的倒易空间熵指标的组合。
57.如方面56所述的组件,其中,所述真实空间熵指标及所述倒易空间熵指标分别包括微分熵。
58.如方面57所述的组件,其中,所述组合式空间熵指标包括真实空间中的一对二变量随机变量中的每个变量的归一化真实微分熵与倒易空间中的一对二变量随机变量中的每个变量的归一化倒易微分熵的乘积。
59.一种用于波前传感器的组件,所述波前传感器包括检测平面和掩模,所述掩模具有图案,所述图案位于辐射的路径中以便与所述辐射相互作用,
其中,照射于所述掩模上的所述辐射在位于所述掩模之后的所述检测平面上形成辐射检测图案,并且
其中,所述掩模的所述图案是至少部分地基于所述辐射检测图案的要求而被设计的。
60.如以上波前传感器方面中任一项所述的波前传感器,其中,所述图案是非连续图案。
61.如以上波前传感器方面中任一项所述的波前传感器,其中,所述图案是二元型图案。
62.如以上波前传感器方面中任一项所述的波前传感器,其中,所述图案是近似二元型图案。
63.如以上波前传感器方面中任一项所述的波前传感器,其中,所述掩模的所述图案包括对所述辐射具有不同透射率的两个区域。
64.如以上波前传感器方面中任一项所述的波前传感器,其中,所述掩模包括对所述辐射具有不同反射率的两个区域。
65.如方面14至20中任一项所述的波前传感器,其中,所述要求包括与熵相关的参数高于一个值,所述参数可选地是所述掩模的所述图案的熵与所述辐射检测图案的熵的组合,可选地是真实空间熵与倒易空间熵的组合,可选地是组合式空间熵指标。
66.如方面65所述的波前传感器,其中,所述值是0.8,可选地是O.85,以及可选地是0.88。
尽管可以在本文中特定地参考光刻设备的在IC制造中的使用,但是应当理解,本文中描述的光刻设备可以具有其他应用。可能的其他应用包括制造集成光学系统、用于磁畴存储器的引导及检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。
尽管可以在本文中特定地参考在光刻设备的内容背景中的实施例,但是实施例可以用于其他设备中。实施例可以形成掩模检查设备、量测设备、或者测量或处理诸如晶片(或其他衬底)或掩模(或其他图案形成装置)的物体的任何设备的一部分。这些设备通常可以被称为光刻工具。此类光刻工具可以使用真空条件或周围(非真空)条件。
尽管可以在本文中特定地参考在检查或量测设备的内容背景中的实施例,但是实施例可以用于其他设备中。实施例可以形成掩模检查设备、光刻设备、或者测量或处理诸如晶片(或其他衬底)或掩模(或其他图案形成装置)的物体的任何设备的一部分。术语“量测设备”(或“检查设备”)也可以指检查设备或检查系统(或量测设备或量测系统)。例如包括实施例的检查设备可以用于检测衬底的缺陷或衬底上的结构的缺陷。在该实施例中,衬底上的结构的感兴趣的特性可能是关于结构中的缺陷、结构的特定部分的缺失、或衬底上的非想要结构的存在。
尽管上文可以特定地参考在光学光刻的内容背景中对实施例的使用,但是将明白的是,本发明在内容背景允许的情况下不限于光学光刻,而可以用于其他应用(例如压印光刻)中。
虽然上文所描述的目标或目标结构(更一般而言,衬底上的结构)是出于测量的目的而特定设计及形成的量测目标结构,但是在其他实施例中,可以对作为在衬底上形成的器件的功能性部分的一个或更多个结构测量感兴趣的特性。许多器件具有规则的类光栅结构。如本文中使用的术语“结构、目标光栅及目标结构”并不要求已经特定地针对正在被执行的测量来提供该结构。另外,量测目标的节距可以接近于散射仪的光学系统的分辨率极限或者可能更小,但可能比目标部分C中的通过光刻过程制得的典型非目标结构(可选地产品结构)的尺寸大得多。实际上,可以使目标结构内的重叠光栅的线和/或空间包括在尺寸上相似于非目标结构的较小结构。
虽然上文已经描述了特定实施例,但是将明白的是,可以以与所描述的方式不同的其他方式来实践本发明。以上描述意图为说明性的,而非限制性的。因此,对于本领域技术人员将显而易见的是,可以在不背离下文所阐明的权利要求的范围的情况下对所描述的本发明进行修改。
尽管特定参考了“量测设备/工具/系统”或“检查设备/工具/系统”,但是这些术语可以指相同或相似类型的工具、设备或系统。例如包括本发明的实施例的检查或量测设备可以用于确定衬底上或晶片上的结构的特性。例如包括本发明的实施例的检查设备或量测设备可以用于检测衬底的缺陷或衬底上或晶片上的结构的缺陷。在该实施例中,衬底上的结构的感兴趣的特性可能关联于结构中的缺陷、结构的特定部分的缺失、或衬底上或晶片上的非想要的结构的存在。
尽管特定地参考了HXR、SXR及EUV电磁辐射,但是将明白的是,在内容背景允许的情况下可以通过所有电磁辐射来实践本发明,所述电磁辐射包括无线电波、微波、红外线、(可见)光、紫外线、X射线及伽马射线。

Claims (15)

1.一种用于测量辐射的波前的波前传感器,包括:
掩模,所述掩模包括位于所述辐射的路径中以便与所述辐射相互作用的图案;
其中,照射于所述掩模上的所述辐射在位于所述掩模之后的辐射检测器上形成辐射检测图案,并且
其中,所述掩模的所述图案是至少部分地基于所述辐射检测图案的要求而被设计的。
2.如权利要求1所述的波前传感器,其中,所述掩模被安装为能够相对于所述辐射的源移动。
3.如权利要求2所述的波前传感器,还包括处理器,所述处理器能够操作以执行相位获取方法,以处理来自所述辐射检测器的测得的衍射数据而获取波前信息。
4.如前述权利要求中任一项所述的波前传感器,其中,所述掩模的所述图案包括对所述辐射具有不同透射率的两个区域。
5.如权利要求1至3中任一项所述的波前传感器,其中,所述掩模包括对所述辐射具有不同反射率的两个区域。
6.如前述权利要求中任一项所述的波前传感器,其中,根据准晶体图案而限定所述图案。
7.如前述权利要求中任一项所述的波前传感器,其中,根据随机化图案而限定所述图案。
8.如前述权利要求中任一项所述的波前传感器,其中,所述图案仅包括矩形。
9.如前述权利要求中任一项所述的波前传感器,其中,所述图案是二元图案。
10.如权利要求1至9中任一项所述的波前传感器,其中,所述要求包括与熵相关的参数大于一个值,所述与熵相关的参数可选地是所述掩模的所述图案的熵与所述辐射检测图案的熵的组合,可选地是真实空间熵与倒易空间熵的组合,可选地是组合式空间熵指标。
11.如权利要求10所述的波前传感器,其中,所述值是0.8,可选地是0.85,以及可选地是0.88。
12.一种设计在用于辐射的波前传感器中使用的掩模的图案的方法,
其中,至少部分地基于所述辐射的辐射检测图案的要求而设计所述掩模的所述图案,并且
其中,在位于所述掩模之后的检测平面上产生所述辐射检测图案。
13.一种非暂时性计算机程序产品,在所述计算机程序产品中包括机器可读指令,所述机器可读指令被配置成在由计算机系统执行时使所述计算机系统至少执行如权利要求12所述的方法。
14.具有根据权利要求12设计的图案的掩模与相位获取方法相结合的用途。
15.一种用于波前传感器的组件,所述组件包括检测平面和掩模,所述掩模具有位于辐射的路径中以便与所述辐射相互作用的图案,
其中,照射于所述掩模上的所述辐射在位于所述掩模之后的所述检测平面上形成辐射检测图案,并且
其中,所述掩模的所述图案是至少部分地基于所述辐射检测图案的要求而被设计的。
CN202180053302.3A 2020-09-02 2021-08-16 波前量测传感器及其掩模、用于优化掩模的方法及相关联的设备 Pending CN116171374A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP20194153.1 2020-09-02
EP20194153.1A EP3964809A1 (en) 2020-09-02 2020-09-02 Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
PCT/EP2021/072720 WO2022048899A1 (en) 2020-09-02 2021-08-16 Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses

Publications (1)

Publication Number Publication Date
CN116171374A true CN116171374A (zh) 2023-05-26

Family

ID=72355769

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180053302.3A Pending CN116171374A (zh) 2020-09-02 2021-08-16 波前量测传感器及其掩模、用于优化掩模的方法及相关联的设备

Country Status (6)

Country Link
US (1) US20230341325A1 (zh)
EP (1) EP3964809A1 (zh)
CN (1) CN116171374A (zh)
IL (1) IL300808A (zh)
TW (2) TW202323973A (zh)
WO (1) WO2022048899A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4321933A1 (en) * 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9820664D0 (en) * 1998-09-23 1998-11-18 Isis Innovation Wavefront sensing device
TW550377B (en) * 2000-02-23 2003-09-01 Zeiss Stiftung Apparatus for wave-front detection
FR2827380B1 (fr) 2001-07-12 2003-11-07 Imagine Optic Dispositif d'analyse d'un front d'onde a resolution amelioree
US6924899B2 (en) * 2002-05-31 2005-08-02 Optical Physics Company System for measuring wavefront tilt in optical systems and method of calibrating wavefront sensors
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
DE10258142A1 (de) * 2002-12-04 2004-06-24 Carl Zeiss Smt Ag Vorrichtung zur optischen Vermessung eines Abbildungssystems
SG125101A1 (en) 2003-01-14 2006-09-29 Asml Netherlands Bv Level sensor for lithographic apparatus
US7265364B2 (en) 2004-06-10 2007-09-04 Asml Netherlands B.V. Level sensor for lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
CN101126836B (zh) * 2007-08-20 2010-06-30 中国科学院光电技术研究所 一种100%匹配圆形、环形光束孔径的微阵列光栅
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
EP2228685B1 (en) 2009-03-13 2018-06-27 ASML Netherlands B.V. Level sensor arrangement for lithographic apparatus and device manufacturing method
CN101515105B (zh) 2009-03-26 2010-07-21 上海交通大学 基于超声波调制的准相位匹配高次谐波装置
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
DE102010041558A1 (de) * 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie sowie Verfahren zur mikrolithographischen Belichtung
CN102419213A (zh) * 2011-12-20 2012-04-18 四川大学 基于衍射光栅阵列的哈特曼波前传感器
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
JP5992103B2 (ja) 2012-07-30 2016-09-14 エーエスエムエル ネザーランズ ビー.ブイ. 位置測定装置、位置測定方法、リソグラフィ装置およびデバイス製造方法
KR102355347B1 (ko) 2014-11-26 2022-01-24 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
WO2016096365A1 (en) * 2014-12-17 2016-06-23 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase
US10241425B2 (en) 2014-12-22 2019-03-26 Asml Netherlands B.V. Level sensor, lithographic apparatus and device manufacturing method
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
DE102015209051B4 (de) * 2015-05-18 2018-08-30 Carl Zeiss Smt Gmbh Projektionsobjektiv mit Wellenfrontmanipulator sowie Projektionsbelichtungsverfahren und Projektionsbelichtungsanlage
DE102015209490A1 (de) * 2015-05-22 2016-11-24 Carl Zeiss Smt Gmbh Interferometrische Messanordnung
KR102162234B1 (ko) 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
KR102104843B1 (ko) * 2015-10-02 2020-04-28 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
DE102015226571B4 (de) * 2015-12-22 2019-10-24 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zur Wellenfrontanalyse
CN108431692B (zh) 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
CN105424325B (zh) * 2015-12-24 2018-03-20 中国科学院上海光学精密机械研究所 点衍射干涉波像差测量仪及光学系统波像差的检测方法
CN111263918B (zh) * 2017-05-31 2022-11-08 Asml荷兰有限公司 辐射源布置、检查设备、波前传感器、相关的方法以及非暂时性存储介质
EP3410211A1 (en) 2017-05-31 2018-12-05 Stichting VU Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
CN108955905B (zh) * 2018-03-23 2020-10-16 中国科学院上海光学精密机械研究所 基于改进型哈特曼掩模的波前传感器及检测方法

Also Published As

Publication number Publication date
US20230341325A1 (en) 2023-10-26
TW202217435A (zh) 2022-05-01
TWI794950B (zh) 2023-03-01
TW202323973A (zh) 2023-06-16
EP3964809A1 (en) 2022-03-09
IL300808A (en) 2023-04-01
WO2022048899A1 (en) 2022-03-10

Similar Documents

Publication Publication Date Title
CN112119355B (zh) 检查设备的照射源、检查设备和检查方法
US11129266B2 (en) Optical system, metrology apparatus and associated method
CN115943342A (zh) 用于测量曝光图案的量测方法以及相关联的量测装置
EP3851915A1 (en) Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
US20220397834A1 (en) Measuring method and measuring apparatus
TWI794950B (zh) 波前度量衡感測器及其光罩、用於最佳化光罩之方法及相關裝置
TWI776421B (zh) 度量衡量測方法及裝置
US12031909B2 (en) Metrology method for measuring an exposed pattern and associated metrology apparatus
TWI795975B (zh) 基於從繞射結構產生高階諧波之度量衡設備及度量衡方法
EP4242744A1 (en) Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP3869270A1 (en) Assemblies and methods for guiding radiation
CN116134972A (zh) 照射源和相关联的量测设备
NL2021670A (en) Optical system, metrology apparatus and associated method
CN114830026A (zh) 照射源和相关的量测设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination