CN116034183A - 自由基活化的碳膜沉积 - Google Patents

自由基活化的碳膜沉积 Download PDF

Info

Publication number
CN116034183A
CN116034183A CN202280005379.8A CN202280005379A CN116034183A CN 116034183 A CN116034183 A CN 116034183A CN 202280005379 A CN202280005379 A CN 202280005379A CN 116034183 A CN116034183 A CN 116034183A
Authority
CN
China
Prior art keywords
carbon
substituted
substrate
carbon film
radicals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280005379.8A
Other languages
English (en)
Inventor
巴德里·N·瓦拉达拉简
马修·斯科特·韦默
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN116034183A publication Critical patent/CN116034183A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/20Graphite
    • C01B32/205Preparation
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/05Preparation or purification of carbon not covered by groups C01B32/15, C01B32/20, C01B32/25, C01B32/30
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • C01B32/186Preparation by chemical vapour deposition [CVD]
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/25Diamond
    • C01B32/26Preparation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Geology (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

使用含自由基活化碳的前体将结晶或非晶碳膜沉积在衬底上。含碳前体包含一或多个C‑C键和/或一或多个C‑H键。自由基在位于反应腔室上游的远程等离子体源中产生,且含碳前体流动到远程等离子体源下游的反应腔室中。自由基与C‑C键和/或C‑H键相互作用以在邻近于衬底的环境中活化含碳前体。在一些实施方案中,高度保形的非晶碳膜通过含自由基活化碳的前体沉积。

Description

自由基活化的碳膜沉积
以引用的方式并入
PCT申请表作为本申请的一部分与本说明书同时提交。本申请要求如在同时提交的PCT申请表中确定的权益或优先权的每一申请均以全文引用的方式并入本文中且用于所有目的。
技术领域
本文中的实施方案涉及非晶或结晶碳膜的沉积,且更确切地说,涉及通过前体气体的自由基活化的非晶或结晶碳膜的基于间接等离子体的沉积。
背景技术
可获得具有在非晶到纳米晶和多晶的范围内、在类金刚石到石墨的范围内的结构的碳膜。碳膜可具有不同量的sp3到sp2键。其也可在纯碳到具有不同量的氢的范围内。碳膜的特性可取决于沉积方法和工艺条件而改变。许多碳膜展现极佳特性,例如与硬度、电阻率、化学稳定性、透明度、蚀刻选择性、摩擦和耐腐蚀性相关的特性。因此,碳膜可适用于许多热、化学或机械环境。举例来说,碳膜可作为保护涂层应用于机械装置中,或作为硬掩模、涂层或其它应用应用于电子装置和半导体中。
本文中所提供的背景是为了大体上呈现本公开的上下文的目的。当前署名的发明人在此背景中描述的程度上的工作以及在提交时可能没有以其它方式作为现有技术的资格的描述的方面既不明确地也不隐含地被认为针对本公开的现有技术。
发明内容
本文提供一种在衬底上沉积非晶或结晶碳膜的方法。所述方法包含:使一或多种含碳前体朝向反应腔室中的衬底流动到反应腔室中,所述含碳前体中的每一种具有以下中的至少一个:一或多个C-C键或一或多个C-H键;以及在位于一或多种含碳前体上游的远程等离子体源中从源气体产生源气体的自由基。所述方法进一步包含将源气体的自由基朝向衬底引入到反应腔室中,其中自由基处于足以活化C-C键和/或C-H键且在邻近于衬底的环境中形成含活化碳自由基的前体的能态,且其中含活化碳自由基的前体沉积以在衬底上形成非晶或结晶碳膜。
在一些实施方案中,源气体包括氢气且源气体的自由基为氢自由基。在一些实施方案中,氢自由基为在邻近于衬底的环境中处于基态的氢自由基。在一些实施方案中,衬底包括氧化硅、氮化硅、硅或碳的非金属层,且非晶或结晶碳膜沉积在非金属层上。在一些实施方案中,衬底包括铜、钴、钼、钨或钌的金属层,且非晶或结晶碳膜沉积在金属层上。在一些实施方案中,一或多种含碳前体包括直链烯烃、直链炔烃、支链烯烃、支链炔烃、环状烯烃或环状炔烃基团中的至少一种。在一些实施方案中,一或多种含碳前体包括支链烷烃基团或环状烷烃基团。在一些实施方案中,一或多种含碳前体包括经卤基取代的烷烃、经卤基取代的烯烃或经卤基取代的炔烃基团。在一些实施方案中,一或多种含碳前体包括经卤代烷基取代的烷烃、经卤代烷基取代的烯烃、经卤代烷基取代的炔烃、经羧基取代的烷烃、经羧基取代的烯烃、经羧基取代的炔烃、经氰基取代的烷烃、经氰基取代的烯烃、经氰基取代的炔烃、经羰基取代的烷烃、经羰基取代的烯烃、经羰基取代的炔烃、经磺酰基取代的烷烃、经磺酰基取代的烯烃、经磺酰基取代的炔烃、经硝基取代的烷烃、经硝基取代的烯烃、经硝基取代的炔烃、经磺酰卤取代的烯烃、经磺酰卤取代的烯烃、经磺酰卤取代的炔烃、经磺酰胺取代的烷烃、经磺酰胺取代的烯烃或经磺酰胺取代的炔烃基团。在一些实施方案中,一或多种含碳前体包括经醇取代的烷烃、经醇取代的烯烃、经醇取代的炔烃、经醚取代的烷烃、经醚取代的烯烃、经醚取代的炔烃、经醚取代的烷烃、经醚取代的烯烃、经醚取代的炔烃、经O-酰基取代的烷烃、经O-酰基取代的烯烃、经O-酰基取代的炔烃、经胺取代的烷烃、经胺取代的烯烃、经胺取代的炔烃、经N-酰基取代的烷烃、经N-酰基取代的烯烃或经N-酰基取代的炔烃基团。在一些实施方案中,非晶或结晶碳膜为氢含量在约20原子%与约70原子%之间的非晶碳膜。在一些实施方案中,衬底具有一或多个凹陷特征,非晶或结晶碳膜沉积在一或多个凹陷特征中且具有等于或大于约90%的阶梯覆盖率。在一些实施方案中,非晶碳膜具有在约1.5与约2.5之间的折射率和在约1.1g/cm3与约3.5g/cm3之间的密度。在一些实施方案中,在约50℃与约550℃之间的沉积温度下以等于或大于约
Figure BDA0004028920890000031
/分钟的沉积速率沉积非晶碳膜。在一些实施方案中,非晶或结晶碳膜中sp3碳键合的量等于或大于约25%。
本文还提供一种在衬底上沉积非晶氢化碳膜的方法。所述方法包含:使一或多种含碳前体朝向反应腔室中的衬底流动到反应腔室中,所述含碳前体中的每一种具有以下中的至少一个:一或多个C-C键或一或多个C-H键;以及在位于一或多种含碳前体上游的远程等离子体源中从氢源气体产生氢自由基。所述方法进一步包含将氢自由基引入到反应腔室中且朝向衬底,其中自由基处于足以活化一或多个C-C键和/或一或多个C-H键且在邻近于衬底的环境中形成含活化碳的前体的能态,其中含活化碳的前体沉积以在衬底上形成非晶氢化碳膜,氢含量在约20原子%与约70原子%之间。
在一些实施方案中,非晶氢化碳膜具有在约1.1g/cm3与约3.5g/cm3之间的密度。在一些实施方案中,一或多种含碳前体包括直链烯烃、直链炔烃、支链烯烃、支链炔烃、环状烯烃基团或环状炔烃基团中的至少一种。在一些实施方案中,一或多种含碳前体包括支链烷烃基团和/或环状烷烃基团。在一些实施方案中,衬底具有一或多个凹陷特征,非晶氢化碳膜沉积在一或多个凹陷特征中且具有等于或大于约90%的阶梯覆盖率。
附图说明
图1示出基于sp2、sp3和氢含量的非晶碳的三元相图。
图2示出根据一些实施方案的沉积非晶或结晶碳膜的实例方法的流程图。
图3示出根据一些实施方案的具有远程等离子体源的实例等离子体处理设备的示意图。
图4A示出沉积在衬底的介电层上的实例碳膜的横截面示意图。
图4B示出沉积在衬底的半导体层上的实例碳膜的横截面示意图。
图4C示出沉积在衬底的金属层上的实例碳膜的横截面示意图。
图5A示出作为沉积在双镶嵌结构中的衬里层的实例碳膜的横截面示意图。
图5B示出作为衬底上的沉积抑制剂的实例碳膜的横截面示意图。
图5C示出作为凹陷特征中的间隙填充物的实例保形碳膜的横截面示意图。
具体实施方式
在本公开中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换地使用。本领域的普通技术人员将理解,术语“部分制造的集成电路”可指在集成电路制造的许多阶段中的任一个期间的硅晶片。半导体装置行业中使用的晶片或衬底通常具有200mm或300mm或450mm的直径。以下详细描述假设本公开在晶片上实施。然而,本公开不限于此。工件可具有各种形状、大小和材料。除半导体晶片之外,可利用本公开的其它工件包含各种物品,例如印刷电路板等。
碳是最通用的元素中的一个,且以展现不同范围的特性的广泛多种同素异形体形式体现。非晶碳形成一大类碳同素异形体。与金刚石和石墨的结晶结构相比,非晶碳含有一定程度的无序或非结晶性。非晶或结晶碳可通过材料中sp2与sp3杂化键的比率来表征。石墨仅由sp2杂化键组成,且金刚石仅由sp3杂化键组成。一些碳材料可用氢稳定,使得碳材料氢化。
碳膜中sp2杂化键的量、sp3杂化键的量和氢含量的量是确定碳膜的特性的重要因素。碳膜可使用任何数目的合适的沉积技术来沉积,例如化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)和物理气相沉积(PVD)(例如,溅镀)。可根据沉积技术和沉积参数控制sp2与sp3杂化键的比率和氢含量。反过来,碳膜的特性,包含其密度、硬度、压缩应力、耐化学性、热稳定性、机械特性和光学特性受到影响。
图1示出基于sp2、sp3和氢含量的非晶碳的三元相图。三元相图用于基于sp2、sp3和氢含量对非晶或结晶碳进行分类和表征。三个角对应于金刚石(仅sp3键)、石墨(仅sp2键)和氢。更大量的sp3键合对应于更多的类金刚石碳,且更大量的sp2键合对应于更多的石墨碳。向碳膜中添加氢将碳膜转变为更类聚合物的结构,展示随着氢含量的增加非晶相的等级增加。类金刚石碳(DLC)膜为具有一些或相当大部分sp3杂化键的非晶碳膜。DLC中sp3键合的量通常在约10%到约90%的范围内。一种类型的DLC膜为四面体非晶碳(ta-C),其主要具有sp3杂化键且几乎没有氢。另一类型的DLC膜为四面体非晶氢化碳(ta-C:H),其含有比ta-C更多的氢。氢化非晶碳(a-C:H)通常具有比ta-C和ta-C:H更少的sp3含量。溅镀非晶碳可具有比sp3键合更多的sp2键合以产生更多的石墨碳。在氢含量超过大于约40原子%的情况下,碳膜可表征为类聚合物氢化碳(HC聚合物)。在某一点,过多的氢含量使得碳膜形成不可能,从而不形成膜。
可将各种类型的非晶碳和其特性与结晶碳(即,金刚石或石墨)进行比较,如表1所展示。金刚石具有高达3.53g/cm3的密度,且石墨具有约2.27g/cm3的密度。无序形式的碳可具有与金刚石和石墨不同的特性,特别是当sp3键合的量或氢含量变化时。类聚合物氢化碳膜具有约1.1g/cm3的低密度。较高浓度的氢通常导致较低密度。增加的sp3键合导致更类金刚石的特性,包含硬度增加和密度增加的特性。
表1
sp3(%) H(原子%) <![CDATA[密度(g/cm<sup>3</sup>)]]> 硬度
金刚石 100 0 3.53 100
石墨 0 0 2.27 -
蒸发C 0 0 1.9 3
溅镀C 5 0 2.2 -
ta-C 80-88 0 3.1 80
a-C:H(硬) 40 30-40 1.6-2.2 10-20
a-C:H(软) 60 40-50 1.2-1.6 10
ta-C:H 70 30 2.4 50
包含非晶碳的碳同素异形体通常使用例如热CVD和PECVD的沉积技术形成。其它方法可包含电子回旋共振(ECR)、基于等离子体的离子注入和沉积以及PVD,所述PVD包含电离蒸发、溅镀、磁控溅镀、过滤阴极真空电弧、离子束沉积、电弧离子电镀、脉冲激光沉积和激光电弧沉积。获得具有低氢含量的高密度碳膜通常通过在极高温度(例如,高于600℃)下沉积或施加等离子体来获得。更高温度和压力对于更多sp3键合可为必要的,但此类温度和压力可在施加等离子体的情况下降低。具有较高氢含量的较低密度碳膜可涉及较低温度和/或较低偏置电压下的等离子体。在沉积期间增加基于烃的前体(例如,甲烷)的浓度也可产生具有较高氢含量的较低密度碳膜。
热CVD使用高温,使得烃前体热分解且吸附到衬底表面上,其中烃自由基具有化学反应性以与衬底表面相互作用。PECVD使用由等离子体产生的供能电子来引起烃前体的电离、激发和解离,其中烃前体的离子和自由基在衬底表面处相互作用。通常采用热分解方法(例如热CVD)或直接等离子体方法(例如PECVD)来产生广泛范围的非晶碳膜。许多此类非晶碳膜涵盖在图1中所绘示的三元相图中,且可通过改变沉积工艺参数来形成。因此,可通过选择适当的沉积技术和调整沉积工艺参数来实现具有不同sp3键合、氢含量、硬度、密度、折射率、消光系数和带隙的各种非晶碳膜。另外,包含金刚石和石墨的结晶碳膜可用适当的沉积技术和沉积工艺参数形成。
使用前述沉积技术,非晶或结晶碳膜通常缺乏保形性。原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)技术通常尚未建立用于沉积块状碳。在一些情况下,某些非晶或结晶碳膜的沉积在极高温度下可能是禁止的。在高温下,半导体晶片上的例如半导体和金属的各种材料可被物理地损坏。在一些情况下,非晶或结晶碳膜的沉积使用等离子体,其中等离子体活化物质的分布不受控制。此导致更多的经激发自由基和离子充当可在生长的碳膜中致密化、溅镀或注入的沉积物质。经激发自由基和离子在等离子体中的大量分布可经由高能离子的方向性而不合需要地影响所沉积碳膜的保形性、组成和其它特性。
本公开使用远程等离子体CVD技术来沉积碳膜,包含非晶碳膜或非晶氢化碳膜。在一些情况下,可使用远程等离子体CVD技术来沉积结晶碳膜。远程等离子体CVD技术采用自由基(例如氢自由基)来活化含碳前体中的特定键且在邻近于衬底的环境中产生基于碳的自由基。基于碳的自由基用作用于在衬底上沉积碳膜的沉积物质。通过含自由基活化碳的前体沉积的碳膜避免了与热分解方法和直接等离子体方法相关的缺点。代替使用直接等离子体或原位等离子体,氢自由基的远程等离子体产生发生在流动到固持衬底的反应腔室中的含碳前体的上游。氢自由基可在邻近于衬底的环境中处于低能态,例如基态,且含碳前体包含一或多个C-C键和/或一或多个C-H键。在一些实施方案中,一或多个C-C键包含一或多个C-C双键或一或多个C-C三键。在一些实施方案中,含碳前体可进一步包含官能团,例如卤化物、卤代烷基、羧基、氰基、羰基、磺酰基、硝基、磺酰卤、磺酰胺、醇、醚、O-酰基、胺和N-酰基,以在含碳前体中提供可由氢自由基选择性地活化的特定键。在一些实施方案中,由含自由基活化碳的前体沉积的碳膜可调节到所需氢含量,例如在约20原子%与约70原子%之间的氢含量。在一些实施方案中,由含自由基活化碳的前体沉积的碳膜可具有等于或大于约25%的sp3杂化键合量。在一些情况下,由含自由基活化碳的前体沉积的碳膜可具有等于或大于约90%的阶梯覆盖率。碳膜可积沉在衬底的金属表面或甚至衬底的非金属表面上。
图2示出根据一些实施方案的在衬底上沉积非晶或结晶碳膜的实例方法的流程图。在一些实施例中,实例方法涉及在衬底上沉积非晶氢化碳膜的方法。过程200的操作可以不同次序和/或以不同、更少或额外操作执行。可使用图3中所绘示的等离子体处理设备执行过程200的操作。在一些实施方案中,可至少部分地根据存储在一或多个非暂时性计算机可读媒体中的软件实施过程200的操作。
在过程200的框210处,一或多种含碳前体朝向反应腔室中的衬底流动到反应腔室中,一或多种含碳前体中的每一种具有以下中的至少一个:一或多个C-C键或一或多个C-H键。然而,应理解,在一些替代实施方案中,含碳前体可包含不具有C-C键和/或C-H键的四卤化碳,例如四氯化碳(CCl4)、四氟化碳(CF4)、四溴化碳(CBr4)和四碘化碳(CI4)。衬底可支撑在反应腔室中的衬底支撑件或基座上。衬底可为任何晶片、半导体晶片、部分制造的集成电路、印刷电路板、显示屏幕或其它适当的工件。在一些实施方案中,衬底可包含金属层,所述金属层包含例如铜(Cu)、钨(W)、钼(Mo)、钴(Co)和钌(Ru)的金属。在一些实施方案中,衬底可包含介电层,例如氧化硅(SiOx)、氮化硅(SixNy)或非晶碳。在一些实施方案中,衬底可包含半导体层,例如硅(Si)。碳膜可沉积在金属层、介电层或半导体层上。在一些实施方案中,衬底可包含多个衬底特征或凹陷特征,其中凹陷特征中的每一个可具有高纵横比。举例来说,凹陷特征中的每一个的纵横比可等于或大于约3:1,等于或大于约5:1,等于或大于约8:1,等于或大于约10:1,或等于或大于约20:1。
含碳前体经由前体供应源或前体气体管线流动或以其它方式引入到反应腔室中。将含碳前体供应到绕过任何等离子体源或等离子体产生区的反应腔室中。含碳前体进入反应腔室中位于远程等离子体源下游的空间。远程等离子体源流体地耦合到反应腔室且位于反应腔室的上游。含碳前体在等离子体中没有电离的情况下流动到邻近于衬底的环境中。
以气相递送含碳前体。选择含碳前体,使得在远程等离子体源中产生的自由基将选择性地活化含碳前体中的特定键。保留含碳前体中的其它键。在一些实施方案中,含碳前体包含烃前体。含碳前体可具有一或多个C-C键和/或一或多个C-H键。C-C键可包含C-C单键、C-C双键或C-C三键。含碳前体还可具有C-N键、C-O单键、C-O双键、C-OH键或C-X键,其中X表示卤素(例如,F、Cl、Br或I)。
在一些情况下,烃前体仅包含C和H原子。烃化合物可为CxHy,其中x为1到10的整数且其中y为2到24的整数。另外其它非限制性烃可包含甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丙炔(C3H4)、丙二烯(propadiene)(C3H4)、丙二烯(allene)(C3H4)、环丙烯(C3H4)、环丙烷(C3H6)、丁烷(C4H10)、1,3-丁二烯(C4H6)、1,2-丁二烯(C4H6)、环丁烷(C4H8)、环丁烯(C4H6)、异戊二烯(C5H8)、戊二烯(C5H8)、新戊烷(C5H12)、异戊烷(C5H12)、二甲基丁二烯(C6H10)、1,5-己二烯(C6H10)、环己烷(C6H12)、环己烯(C6H10)、苯(C6H6)、甲苯(C7H8)、降冰片烯(C7H10)、1,7-辛二烯(C8H14)、环辛烷(C8H16)、环壬烷(C9H18)、环癸烷(C10H20)等。另外其它烃化合物包含烯烃、炔烃、芳烃和环状烃。
含碳前体的其它实例包含脂肪族化合物,例如烷烃、烯烃和炔烃(例如,C1-10烷烃、C2-10烯烃或C2-10炔烃,包含其直链、支链或环状形式)。在一些实施例中,烷烃为支链的。在特定实施例中,烷烃包含式CH3-CR1R2R3,其中R1可为H或任选经取代的烷基;且R2和R3中的每一个独立地为任选经取代的烷基。可能的取代可包含本文中所描述的任何取代,包含吸电子基团和供电子基团(例如,孤对供体基团)。
在其它实施例中,前体为环状烷烃,例如在脂环族中。在特定实施例中,烷烃为环烷烃。非限制性环烷烃包含C3、C4或C8-10环烷烃。在特定实施例中,环烷烃为应变环烷烃。因此,在一些实施例中,一或多种含碳前体可包括支链烷烃或环状烷烃基团。
烯烃或炔烃可为直链、支链和/或环状的。在一个实施例中,烯烃或炔烃为直链或支链的。此类直链和支链烯烃可包含一个、两个、三个、四个或更多个碳-碳双键。此类直链和支链炔烃可另外或替代地包含一个、两个、三个、四个或更多个碳-碳三键。非限制性前体可包含C2-10烯烃和C2-10炔烃。在其它实施例中,烯烃包含式R1R2C=CR3R4,其中R1、R2、R3和R4中的每一个独立地为H、任选经取代的烷基(例如,经取代的C1-8烷基)或任选经取代的烯基(例如,经取代的C2-8烯基)。在另外其它实施例中,炔烃包含式R1C≡CR2,其中R1和R2中的每一个独立地为H、任选经取代的烷基(例如,经取代的C1-8烷基)、任选经取代的烯基(例如,经取代的C2-8烯基)或任选经取代的炔烃(例如,经取代的C2-8炔基)。
在特定实施例中,前体为具有一或多个双键的烯烃或具有一或多个三键的炔烃,其中烯烃或炔烃可为直链或环状的。在一些实施例中,前体包括直链烯烃、支链烯烃或环状烯烃。实例烯烃包含乙烯、丙烯、1-丁烯、1-戊烯、1-己烯、1-庚烯、1-辛烯和1-壬烯,以及这些中的任一个的二烯和位置异构体(如果可用),其中改变双键的位置(例如,1-丁烯的位置异构体可为2-丁烯等)。在一些实施例中,前体包括直链炔烃、支链炔烃或环状炔烃。实例炔烃包含乙炔、丙炔、1-丁炔、1-戊炔、1-己炔、1-庚炔、1-辛炔和1-壬炔,以及位置异构体(如果可用),其中改变三键的位置(例如,1-丁炔的位置异构体可为2-丁炔等)。在一些情况下,一或多种含碳前体包括直链烯烃、直链炔烃、支链烯烃、支链炔烃、环状烯烃或环状炔烃基团中的至少一种。
含碳前体的另外其它实例可包含脂环族化合物(例如,C3-12环烷烃、C3-12环烯烃或C3-12环炔烃)或芳族化合物(例如,苯、甲苯、萘、菲以及其其它多环形式)。含碳前体可包含饱和键(单键,例如,C-C键或C-H键)和/或不饱和键(双键或三键,例如,C=C、C≡C或C≡N键)。在另一实施例中,烃化合物可为环状烃(例如,甲基环己烷)。
在一些实施例中,环状烯烃或炔烃为芳族化合物。在一个实施例中,芳族化合物包含经一或多个官能团(例如,本文中所描述的任何官能团)取代的苯。在一些情况下,烃为未经取代的苯、经C1烷基取代的苯(例如,甲苯、邻二甲苯、间二甲苯、对二甲苯)或经取代的芳族烃(例如,经卤基取代的苯、经胺取代的苯、经C2-8烷基取代的苯或经卤基和烷基取代的苯,例如异丙苯、苯胺、N,N-二甲基苯胺等)。
在其它实施例中,环状烯烃或环状炔烃为如本文中所描述的具有一或多个碳-碳双键和/或三键(即,C=C和/或C≡C键)的脂环族化合物。在其它实施例中,前体为不饱和的环状烃(例如,环戊烯、环己烯、环庚烯、芴等)。
各种官能团可取代含碳前体,其中官能团可充当吸电子基团或充当供电子基团(例如,孤对供体基团)。这些官能团可影响一或多个C-C键、C-H键、C-N键、C-O单键、C-O双键、C-OH键或C-X键(其中X表示卤素)中的键强度,使得在远程等离子体源中产生的自由基可选择性地破坏此类键以活化含碳前体。在一些实施例中,C-C键和/或C-H键的键强度可受吸电子基团(例如,卤代基团或卤代脂族基团)的存在的影响。
吸电子基团可包含一或多个卤素。在一些实施方案中,一或多种含碳前体包含经卤基取代的烷烃、经卤基取代的烯烃或经卤基取代的炔烃基团。在其它实施例中,本文中的前体中的任一种可经一或多个卤代基团、一或多个卤代脂族基团或一或多个卤代烷基取代。在一个非限制性实例中,前体为烷烃、烯烃或炔烃;且前体经一或多个卤基、卤代脂族或卤代烷基取代。
在特定实施例中,经卤素取代的前体为卤烃化合物,例如卤代烷烃、卤代烯烃或卤代芳族化合物。在另外其它实施例中,卤烃化合物为四溴化碳(CBr4)或四氯化碳(CCl4)。在其它情况下,前体为经卤基取代的C1烃(例如,氯仿、二氯甲烷)或具有一或多个卤素的C2-12烃。
另外其它吸电子基团包含卤代脂族、卤代杂脂族、杂脂族、卤基、卤代烷基(例如,C1卤代烷基,包含一个、两个或三个卤代取代基)、全氟烷基、烷氧基、羧基、氰基、酯、硝基、硝基烷基、磺酰胺、磺酸酯、磺酰基、磺酰卤等。本文中的任何前体可经一或多个吸电子基团取代。
在一些实施方案中,一或多种含碳前体包含一或多种经卤代烷基取代的烷烃、经卤代烷基取代的烯烃、经卤代烷基取代的炔烃、经羧基取代的烷烃、经羧基取代的烯烃、经羧基取代的炔烃、经氰基取代的烷烃、经氰基取代的烯烃、经氰基取代的炔烃、经羰基取代的烷烃、经羰基取代的烯烃、经羰基取代的炔烃、经磺酰基取代的烷烃、经磺酰基取代的烯烃、经磺酰基取代的炔烃、经硝基取代的烷烃、经硝基取代的烯烃、经硝基取代的炔烃、经磺酰卤取代的烷烃、经磺酰卤取代的烯烃、经磺酰卤取代的炔烃、经磺酰胺取代的烷烃、经磺酰胺取代的烯烃或经磺酰胺取代的炔烃基团。在其它实施方案中,前体(例如,本文中的任一种)可经一或多个官能团取代,所述官能团例如卤代脂族、卤代杂脂族、杂脂族、卤基、卤代烷基(例如,C1卤代烷基,包含一个、两个或三个卤代取代基)、全氟烷基、烷基、烯基、炔基、烷氧基、酰胺、胺、氨基烷基、羧基、氰基、酯、羟基、羟基烷基、硝基、硝基烷基、磺酰胺、磺酸酯、磺酰基、磺酰卤等。
在一些实施方案中,一或多种含碳前体可包含供电子基团(例如,孤对供体基团)。前体分子中的孤对,例如氧孤对或氮孤对,可将电子密度贡献给反键轨道以增强含碳前体的自由基活化。举例来说,一或多种含碳前体包含经醇取代的烷烃、经醇取代的烯烃、经醇取代的炔烃、经醚取代的烷烃、经醚取代的烯烃、经醚取代的炔烃、经醚取代的烷烃、经醚取代的烯烃、经醚取代的炔烃、经O-酰基取代的烷烃、经O-酰基取代的烯烃、经O-酰基取代的炔烃、经胺取代的烷烃、经胺取代的烯烃、经胺取代的炔烃、经N-酰基取代的烷烃、经N-酰基取代的烯烃或经N-酰基取代的炔烃基团。孤对供体基团的另外其它非限制性实例包含卤代杂脂族、杂脂族、烷氧基、酰胺、胺、氨基烷基、酯、羟基、羟基烷基等。本文中的任何前体可经一或多个孤对供体基团取代。
“脂族”意指具有至少一个碳原子到50个碳原子(C1-50),例如一个到25个碳原子(C1-25)或一个到十个碳原子(C1-10)的烃基,且其包含烷烃(或烷基)、烯烃(或烯基)、炔烃(或炔基),包含其环状形式,且还包含直链和支链排列,以及所有立体和位置异构体。
“烯基”意指具有至少两个碳原子到50个碳原子(C2-50),例如两个到25个碳原子(C2-25),或两个到十个碳原子(C2-10),和至少一个碳-碳双键的不饱和单价烃,其中不饱和单价烃可通过从母体烯烃的一个碳原子去除一个氢原子而得到。烯基可为支链、直链、环状(例如,环烯基)、顺式或反式(例如,E或Z)。实例烯基包含具有一或多个双键的任选地经取代的C2-24烷基。通过去除一或多个氢以形成与母体分子基团的适当连接或母体分子基团与另一取代基之间的适当连接,烯基可为单价或多价的(例如,二价)。烯基还可为经取代或未经取代的。举例来说,烯基可经一或多个取代基取代,如本文中对于烷基所描述。
“烷氧基”意指-OR,其中R为如本文中所描述的任选地经取代的脂族基团。实例烷氧基包含但不限于甲氧基、乙氧基、正丙氧基、异丙氧基、正丁氧基、叔丁氧基、仲丁氧基、正戊氧基,三卤代烷氧基,例如三氟甲氧基等。烷氧基可为经取代或未经取代的。举例来说,烷氧基可经一或多个取代基取代,如本文中对于烷基所描述。实例未经取代的烷氧基包含C1-3、C1-6、C1-12、C1-16、C1-18、C1-20或C1-24烷氧基。
“烷基”意指具有至少一个碳原子到50个碳原子(C1-50),例如一个到25个碳原子(C1-25)或一个到十个碳原子(C1-10)的饱和单价烃,其中饱和单价烃可通过从母体化合物(例如,烷烃)的一个碳原子去除一个氢原子而得到。烷基可为支链、直链或环状的(例如,环烷基)。实例烷基包含1到24个碳原子的支链或非支链饱和烃基,例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、叔丁基、正戊基、异戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基等。烷基还可为经取代或未经取代的。通过去除一或多个氢以形成与母体分子基团的适当连接或母体分子基团与另一取代基之间的适当连接,烷基可为单价或多价的(例如,二价)。举例来说,烷基可经一个、两个、三个或在两个或更多个碳的烷基的情况下经四个独立地选自由以下组成的群组的取代基取代:(1)C1-6烷氧基(例如,-O-R,其中R为C1-6烷基);(2)C1-6烷基亚磺酰基(例如,-S(O)-R,其中R为C1-6烷基);(3)C1-6烷基磺酰基(例如,-SO2-R,其中R为C1-6烷基);(4)胺(例如,-C(O)NR1R2或-NHCOR1,其中R1和R2中的每一个独立地选自如本文中所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族或其任何组合,或R1和R2与各自所连接的氮原子一起可形成如本文中所定义的杂环基);(5)芳基;(6)芳基烷氧基(例如-O-L-R,其中L为烷基且R为芳基);(7)芳酰基(例如,-C(O)-R,其中R为芳基);(8)叠氮基(例如-N3);(9)氰基(例如,-CN);(10)醛(例如,-C(O)H);(11)C3-8环烷基;(12)卤基;(13)杂环基(例如,如本文中所定义,例如含有一个、两个、三个或四个非碳杂原子的5元、6元或7元环);(14)杂环基氧基(例如,-O-R,其中R为如本文中所定义的杂环基);(15)杂环酰基(例如,-C(O)-R,其中R为如本文中所定义的杂环基);(16)羟基(例如,-OH);(17)N-保护氨基;(18)硝基(例如,-NO2);(19)氧代(例如,=O);(20)C1-6硫代烷氧基(例如,-S-R,其中R为烷基);(21)硫醇(例如,-SH);(22)-CO2R1,其中R1选自由以下组成的群组:(a)氢,(b)C1-6烷基,(c)C4-18芳基,和(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(23)-C(O)NR1R2,其中R1和R2中的每一个独立地选自由以下组成的群组:(a)氢,(b)C1-6烷基,(c)C4-18芳基,和(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(24)-SO2R1,其中R1选自由以下组成的群组:(a)C1-6烷基,(b)C4-18芳基,和(c)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(25)-SO2NR1R2,其中R1和R2中的每一个独立地选自由以下组成的群组:(a)氢,(b)C1-6烷基,(c)C4-18芳基,和(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);以及(26)-NR1R2,其中R1和R2中的每一个独立地选自由以下组成的群组:(a)氢,(b)N-保护基,(c)C1-6烷基,(d)C2-6烯基,(e)C2-6炔基,(f)C4-18芳基,(g)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基),(h)C3-8环烷基,和(i)C1-6烷基-C3-8环烷基(例如,-L-R,其中L为C1-6烷基且R为C3-8环烷基),其中在一个实施例中,没有两个基团通过羰基或磺酰基与氮原子结合。烷基可为经一或多个取代基(例如,一或多个卤基或烷氧基)取代的伯、仲或叔烷基。在一些实施例中,未经取代的烷基为C1-3、C1-6、C1-12、C1-16、C1-18、C1-20或C1-24烷基。
“炔基”意指具有至少两个碳原子到50个碳原子(C2-50),例如两个到25个碳原子(C2-25),或两个到十个碳原子(C2-10),和至少一个碳-碳三键的不饱和单价烃,其中不饱和单价烃可通过从母体炔烃的一个碳原子去除一个氢原子而得到。炔基可为支链、直链或环状的(例如,环炔基)。实例炔基包含具有一或多个三键的任选地经取代的C2-24烷基。炔基可为环状或非环状的且由乙炔基、1-丙炔基等例示。通过去除一或多个氢以形成与母体分子基团的适当连接或母体分子基团与另一取代基之间的适当连接,炔基可为单价或多价的(例如,二价)。炔基还可为经取代或未经取代的。举例来说,炔基可经一或多个取代基取代,如本文中对于烷基所描述。
“酰胺”意指-C(O)NR1R2或-NHCOR1,其中R1和R2中的每一个独立地选自如本文中所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族或其任何组合,或其中R1和R2与各自所连接的氮原子一起可形成如本文中所定义的杂环基。
“胺”意指-NR1R2,其中R1和R2中的每一个独立地选自如本文中所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族或其任何组合;或其中R1和R2与各自所连接的氮原子一起可形成如本文中所定义的杂环基。
“氨基烷基”意指经如本文中所定义的胺基取代的如本文中所定义的烷基。在一些实施例中,氨基烷基为-L-NR1R2,其中L为如本文中所定义的烷基,且R1和R2中的每一个独立地选自氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族或其任何组合;或R1和R2与各自所连接的氮原子一起可形成如本文中所定义的杂环基。在其它实施例中,氨基烷基为-L-C(NR1R2)(R3)-R4,其中L为如本文中所定义的共价键或烷基;R1和R2中的每一个独立地选自氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族或其任何组合;或R1和R2与各自所连接的氮原子一起可形成如本文中所定义的杂环基;且R3和R4中的每一个独立地为如本文中所定义的H或烷基。
除非另外规定,否则“芳族”意指具有单个环(例如,苯基)或多个稠环的5到15个环原子的环状共轭基团或部分,其中至少一个环为芳族的(例如,萘基、吲哚基或吡唑并吡啶基);也就是说,至少一个环和任选地多个稠环具有连续的离域π-电子系统。通常,平面外π电子的数目对应于休克尔(Huckel)规则(4n+2)。与母体结构的连接点通常是通过稠环系统的芳族部分。
“羧基”意指-CO2H基团或其阴离子。
“氰基”意指-CN基团。
“脂环族”意指如本文中所定义的环状的脂族基团。在特定实施例中,脂环族为环烯烃,其为具有单个C-C键的环状基团。
“酯”意指-C(O)OR-OC(O)R,其中R选自如本文中所定义的脂族、杂脂族、卤代脂族、卤代杂脂族、芳族或其任何组合。
“卤基”意指F、Cl、Br或I。
“卤代脂族”意指如本文中所定义的脂族基团,其中一或多个氢原子(例如一到10个氢原子)独立地被卤素原子,例如氟、溴、氯或碘替代。
“卤代杂脂族”意指如本文中所定义的杂脂族,其中一或多个氢原子(例如一到10个氢原子)独立地被卤素原子,例如氟、溴、氯或碘替代。
“杂脂族”意指如本文中所定义的脂族基团,其包含至少一个杂原子到20个杂原子,例如一个到15个杂原子或一个到5个杂原子,所述杂原子可选自(但不限于)基团内的氧、氮、硫、硅、硼、硒、磷和其氧化形式。
“羟基”意指-OH。
“羟基烷基”意指被一个至三个羟基取代的如本文中所定义的烷基,其条件是不超过一个羟基可连接到烷基的单个碳原子且由羟基甲基、二羟基丙基等例示。在一些实施例中,羟基烷基为-L-OH,其中L为如本文中所定义的烷基。在其它实施例中,羟基烷基为-L-C(OH)(R1)-R2,其中L为如本文中所定义的共价键或烷基,且R1和R2中的每一个独立地为如本文中所定义的H或烷基。
“硝基”意指-NO2基团。
“硝基烷基”意指被一个至三个硝基取代的如本文中所定义的烷基。在一些实施例中,硝基烷基为-L-NO,其中L为如本文中所定义的烷基。在其它实施例中,硝基烷基为-L-C(NO)(R1)-R2,其中L为如本文中所定义的共价键或烷基,且R1和R2中的每一个独立地为如本文中所定义的H或烷基。
“全氟烷基”意指每一氢原子经氟原子取代的如本文中所定义的烷基。例示性全氟烷基包含三氟甲基、五氟乙基等。在一些实施例中,全氟烷基为-(CF2)nCF3,其中n为0到10的整数。
“磺酰胺”意指-SO2R,其中R为胺,如本文中所描述。
“磺酸酯”意指-SO3R基团,其中R选自如本文中所定义的氢、卤基、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族或其任何组合。
“磺酰基”意指-SO2R基团,其中R选自如本文中所定义的氢、卤基、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族或其任何组合。
“磺酰卤”意指-SO2R基团,其中R为卤基。
在过程200的框220处,在位于一或多种含碳前体上游的远程等离子体源中从源气体产生源气体的自由基。自由基可为氢自由基且从氢气(H2)的源气体产生。替代地,自由基可为氧、氮、氨或胺的自由基。源气体可经由一或多个气体管线供应到远程等离子体源中。远程等离子体源位于一或多个气体出口上游,以用于将一或多个含碳前体引入到反应腔室中。一或多种含碳前体不通过远程等离子体源。远程等离子体源可为用于等离子体产生的任何合适的等离子体源,例如电感耦合等离子体源或电容耦合等离子体源。远程等离子体源中的等离子体产生产生离子和自由基的混合物,包含处于激发能态的自由基。
在一些实施例中,源气体(例如,H2)与一或多种额外气体(例如惰性气体物质)一起流动到远程等离子体源中。供应一或多种额外气体可支持或稳定远程等离子体源内的稳态等离子体条件。惰性气体物质可包含氦(He)、氖(Ne)、氩(Ar)、氪(Kr)或氙(Xe)。一或多种额外气体可用作稀释剂。在一些实施方案中,氢气可与氦气一起供应。作为实例,氢气可以约1%到25%氢或1%到10%氢的浓度提供于氦载体中。因此,在一些情况下,在远程等离子体源中产生H2/He等离子体。
另外或替代地,一或多种额外气体可包含共反应物气体。共反应物气体可包含含氮试剂(例如,N2)、含氧试剂(例如,O2)或其组合。在一些实施例中,共反应物气体以相对于源气体的一小部分供应。举例来说,共反应物气体可以约0.05质量%或更少,或以约0.01质量%或更少与源气体一起存在于气体混合物中。以此方式,在远程等离子体源中产生的自由基主要由源气体的自由基构成。
远程等离子体源可流体地耦合到固持衬底的反应腔室。自由基和离子在远程等离子体源中产生,使得包含经激发源气体自由基和惰性气体自由基以及松弛气体的气体可以流出远程等离子体源。这些等离子体活化物质可在进入反应腔室之前遇到喷头。喷头可包含离子过滤器、光子过滤器或这两者。过滤离子和光子可防止衬底损坏(例如,经由离子轰击)和不期望的分子再激发(例如,经由UV激发)。
在过程200的框230处,将源气体的自由基朝向衬底引入到反应腔室中,其中自由基处于足以活化C-C键和/或C-H键且在邻近于衬底的环境中形成含活化碳自由基的前体的能态。更确切地说,自由基可处于足以活化C-C键、热力学上可用的C-H键、C-X(例如,Br和I)键、C-OR键、C-NR2键和/或C-SR键的能态,且在邻近于衬底的环境中形成含活化碳自由基的前体。含活化碳自由基的前体沉积以在衬底上形成非晶或结晶碳膜。邻近于衬底的环境是指紧接在衬底的暴露表面上方的空间,其中发生含活化碳自由基的前体的气相沉积。源气体的自由基在工艺条件下递送到反应腔室中,使得经激发自由基转变为松弛的自由基而不重组。压力、惰性气体物质的分数、喷头的气体端口的几何形状、喷头与用于递送含碳前体的一或多个气体出口之间的距离,以及其它过程参数配置成使得自由基在低能态(例如,基态)下遇到邻近于衬底的环境而不重组。在一些实施方案中,全部或基本上全部源气体自由基为处于基态的氢自由基。以此方式,衬底暴露于使表面生长损坏最小化的远程氢等离子体。
当在远程等离子体源中产生时,源气体自由基可处于激发能态。举例来说,处于激发能态的氢可具有至少10.2eV(第一激发态)的能量。经激发氢自由基可在碳膜生长期间引起表面生长损坏。在一些实施方案中,当经激发氢自由基失去其能量或松弛时,经激发氢自由基可转变为基本上低能态氢自由基或基态氢自由基。在一些实施例中,可提供工艺条件,使得经激发氢自由基失去能量或松弛以形成基态氢自由基。举例来说,远程等离子体源和相关联组件可设计成使得从远程等离子体源扩散到衬底的自由基的停留时间大于经激发自由基的能量松弛时间。经激发氢自由基的能量松弛时间可等于或小于约1×10-3秒。
喷头可将离开远程等离子体源的自由基分散和扩散到反应腔室中,同时限制或过滤离子。在一些实施例中,喷头可为具有间隔开的孔或通道的阵列的多端口气体分配器。在一些实施例中,喷头孔或气体端口的尺寸可促进自由基松弛到较低能态,同时限制重组。
在一些实施方案中,全部或相当大部分的源气体自由基处于基态,例如,邻近于衬底的环境中的源气体自由基的至少约90%或95%处于基态。源气体自由基可为氢自由基,其中氢自由基也可称为“氢自由基”或“氢原子自由基”。可通过各种技术实现其中相当大部分的源气体自由基处于基态的状态。例如图3中所描述的一些等离子体设备经设计以实现此状态。用于实现处于基态的氢自由基的工艺条件可实现不含相当大量的离子、电子或经激发自由基物质的条件。相当大量的离子或经激发自由基的存在可引起对衬底的表面生长损坏。在一些实施方案中,邻近于衬底的环境中的离子的浓度不大于约107/cm3。处于基态的源气体自由基在邻近于衬底的环境中提供温和的反应条件。
含碳前体在引入自由基的远程等离子体源的喷头下游流动到反应腔室中。源气体自由基在位于用于递送含碳前体的一或多个气体出口上游的远程等离子体源中产生。当源气体自由基遇到含碳前体时,源气体自由基在与含碳前体混合或相互作用时处于低能态或基态。在一些实施方案中,氢自由基在一或多个气体出口上方的过渡空间中转变为基态,且在一或多个气体出口下方的沉积空间中与含碳前体相互作用且混合。从远程等离子体源产生的与下游含碳前体相互作用的自由基提供基于含活化碳前体的间接等离子体沉积技术。
在一或多个气体出口下方的沉积空间包含邻近于衬底的环境。邻近于衬底的环境包含衬底的暴露表面以及处于低能态(例如,基态)的源气体自由基和紧接在暴露表面上方的含碳前体。在一些实施方案中,衬底的暴露表面上方的距离可在衬底的暴露表面上方最多约100mm或最多约15mm。实际上,处于低能态的自由基对含碳前体的活化发生在衬底的暴露表面上方的小距离处。通常,邻近于衬底的环境中的反应条件在衬底的整个暴露表面上总体上是均匀的,但可准许一些变化。
PECVD或其它直接等离子体沉积技术产生非选择性地裂解前体键的含碳前体的等离子体活化物质。这将前体分解成较不稳定的分子,且使得其它不稳定的反应途径可用。PECVD或其它直接等离子体沉积技术产生离子或高反应性自由基或使碳膜的膜特性难以预测的其它片段类型。通过非选择性地破坏前体键,例如,产生具有高粘附系数的高反应性自由基或片段类型。这可导致产生具有较差阶梯覆盖率的碳膜的悬键。这也可产生碳膜中的不受控制的化学或形态结构。此外,直接等离子体条件在衬底的表面产生大量离子轰击,所述离子轰击以其它方式引起表面生长损坏。
致密非晶碳膜的沉积可使用常规沉积技术在平面或毯式应用上实现。然而,致密非晶碳膜的沉积对具有大于约2:1的深度与宽度纵横比的衬底特征具有挑战性。使用常规的基于等离子体的沉积技术将通常使一些含碳离子存在于邻近于衬底的环境中,所述环境改变碳膜的组成和密度。如果保形性被控制到任何程度,那么将膜的保形性耦合到施加到等离子体的功率。另外,通过PECVD或其它常规沉积技术沉积的碳膜可具有高含量的sp2键合,这可不利地影响光学透明度且损害蚀刻选择性。控制碳的表面化学物质以形成C-C键而不形成其他键配偶体在热力学上是困难的。涉及含碳前体的大多数沉积技术不将C-H键或C-X(例如,其中X为卤化物或其它官能团)键转化成C-C键。
本公开利用在远程等离子体源中产生的源气体自由基转变为低能态自由基以活化用于碳膜沉积的含碳前体。低能态自由基选择性地破坏或裂解含碳前体分子中的某些键以产生含活化碳的前体。在不受任何理论限制的情况下,沉积反应中动力学上更有利的反应机制中的一个可包含氢提取,其从烃前体中的C-H键拉动氢,产生H2气体,且在经活化烃前体上产生以碳为中心的自由基。在不受任何理论限制的情况下,沉积反应中另一动力学上有利的反应机制可涉及与含碳前体中的烯烃或炔烃基团相互作用的低能态自由基,跨越双键或三键添加,以形成新的C-H键和经活化烷烃中的以碳为中心的自由基(对于烯烃或炔烃起始基团),或烯烃中的以碳为中心的自由基(对于炔烃起始基团)。在不受任何理论限制的情况下,沉积反应中另一动力学上有利的反应机制可包含产生含活化碳前体的C-X键的选择性键破坏,其中X可为卤化物或其它吸电子官能团。此机制与提取机制的顺序类似,形成新的X-H键,而同时在含碳前体上形成以碳为中心的自由基。在不受任何理论限制的情况下,沉积反应中另一动力学上有利的反应机制可包含孤对供给,其中氧孤对、氮孤对或其他孤对弱化C-X键(其中X为H、卤化物或其他吸电子官能团),其又被氢自由基提取,在含碳前体分子上产生H-X和以碳为中心的自由基。含活化碳的前体具有促进键合和交联以形成碳-碳键的活性位点。活性位点处的键合和交联可在所得碳膜中形成主骨架或基质。因此,在邻近于衬底的环境中的活化烷烃、活化烯烃、活化炔烃或其它基于活化碳的分子可彼此反应以沉积结晶或非晶碳膜。在一些情况下,所沉积的是非晶氢化碳膜。
各种官能团可取代含碳前体以弱化一或多个C-C键、C-H键、C-N键、C-OH键或C-X键(其中X表示卤素)中的键强度。在一些实施例中,官能团可为如上文所描述的吸电子基团或供电子基团。处于低能态的氢自由基可选择性地活化弱化的C-C单键、弱化的C-H键、弱化的C-N键和/或弱化的C-X键。另外或替代地,处于低能态的氢自由基可选择性地活化C-C双键和/或C-C三键。含碳前体中的弱化键、双键或三键的存在使得含碳前体容易受基于自由基的活化影响。
含碳前体不充当被动旁观者,但对非晶或结晶碳膜的组成有显著贡献。在一些实施方案中,碳膜中基本上全部或相当大部分原子由含碳前体提供,其中少量的源气体物质、惰性气体物质或其它化学物质提供小于约10原子%、小于约5原子%或小于约2原子%的膜质量。在此类情况下,用于驱动沉积反应的低能氢原子自由基对所沉积碳膜的质量基本上没有贡献。因此,本公开中的沉积物质主要为含碳前体。相反,其它常规基于等离子体的沉积工艺产生离子以及中性物作为沉积物质。
含碳前体经活化以在衬底上形成用作沉积物质的碳自由基。沉积工艺条件可在邻近于衬底的环境中提供相对温和的条件,以用于含碳前体的自由基活化。含碳前体通过在含碳前体上游产生的低能态自由基活化。碳自由基与衬底表面上的成核位点相互作用以在衬底上沉积结晶或非晶碳膜。在一些实施例中,所沉积的是非晶氢化碳膜。
源气体自由基与含碳前体相互作用,以不仅产生含活化碳的前体,而且在碳膜沉积期间同时蚀刻碳膜。控制工艺条件,使得碳膜沉积以比碳膜蚀刻更快的速率进行。在一些实施方案中,源气体自由基蚀刻质量较差的碳膜的部分。举例来说,氢自由基蚀刻质量较差的非晶碳膜的部分以产生更多结晶类金刚石碳。在不受任何理论限制的情况下,氢自由基可用于减少sp2键合和增加sp3键合,且氢自由基可用于减少碳膜中的氢含量和增加碳膜的密度。可控制工艺条件以调节与密度、氢含量、保形性、sp2到sp3键合、硬度和其它膜特性相关联的特性。工艺条件可影响源气体自由基与含碳前体相互作用的行为。
邻近于衬底的暴露表面的环境中的温度可为促进沉积反应的任何合适的温度。在一些实施方案中,温度可很大程度上由支撑衬底的基座的温度控制。通常,具有高sp2键合(高度石墨)或高sp3键合(类金刚石)的高质量结晶碳膜或非晶碳膜在常规沉积温度和/或等离子体中需要极高温度。此类高温通常可超过约550℃或超过约650℃。在一些实施方案中,当在本公开中执行自由基活化碳膜沉积时,操作温度可等于或小于约550℃、等于或小于约500℃、等于或小于约450℃、等于或小于约400℃、等于或小于约350℃、等于或小于约300℃、在约50℃与约550℃之间或在约200℃与约400℃之间。此类温度可适合于半导体应用。即使在此类温度下,仍可获得具有高sp2键合或高sp3键合的高质量结晶碳膜,例如石墨烯或非晶碳膜。较高温度通常增加与源气体自由基与所沉积碳膜相互作用相关联的蚀刻速率。举例来说,可以使用包含烯烃的烃前体在高达约100℃的温度下以合理的沉积速率沉积非晶碳膜,但可使用包含炔烃的烃前体在超过100℃的温度下以合理的沉积速率沉积非晶碳膜。在一些实施方案中,碳膜的沉积速率可等于或大于约
Figure BDA0004028920890000221
/分钟,等于或大于约
Figure BDA0004028920890000222
/分钟,或等于或大于约
Figure BDA0004028920890000223
/分钟。选择邻近于衬底的环境中的温度以促进自由基活化碳膜沉积,同时限制所沉积碳膜的蚀刻。
邻近于衬底的金属表面的环境中的压力可为任何合适的压力以促进反应腔室中的碳膜生长。通常,具有高sp2键合或高sp3键合的高质量结晶碳膜或非晶碳膜在常规沉积温度和/或等离子体中需要极高压力。此类高压力通常可超过约10托或超过约50托。在一些实施方案中,当在本公开中执行自由基活化碳膜沉积时,操作压力可为约10托或更低,或约5托或更低。举例来说,操作压力可在约1托与约2托之间。
在一些实施方案中,可控制应用于在远程等离子体源中产生自由基的RF功率。RF功率可足以产生等离子体且供应离子和自由基,同时限制沉积期间的离子轰击。应理解,RF功率可取决于等离子体设备设计和类型。借助于实例,RF电源可在电感耦合等离子体产生器中施加约1kW与约6kW之间的范围内的功率以在远程等离子体源中产生氢自由基。
在一些实施方案中,可控制远程等离子体源的喷头与基座之间的间隙距离。可优化间隙距离以提供足够的时间用于源气体自由基在到达邻近于衬底的环境之前从激发态转变到松弛态。此外,喷头可与用于递送含碳前体的一或多个气体出口分隔开足够的距离,以防止含碳前体的反向扩散或反向流动。在一些实施方案中,一或多个气体出口可与喷头分隔开约12mm与约150mm之间、约15mm与约100mm之间或约20mm与约70mm之间的距离。
在一些实施方案中,可控制前体气体和源气体的气体流动速率以影响碳膜沉积。借助于实例,氢气和氦气可以约2与约40标准升/分钟(slm)之间,约5与约25slm之间,或约10slm与约20slm之间的流动速率范围以混合物形式流动到远程等离子体源中。氢气和氦气的混合物可呈在氦气中约1体积%与约99体积%氢气或在氦气中约1体积%与约10体积%氢气之间的稳态浓度。在一些实施方案中,可以约0.5与约50标准立方厘米/分钟(sccm)之间、约1与约25sccm之间或约1与约10sccm之间的流动速率范围将含碳前体供应到反应腔室中。应理解,在一些实施方案中,氢的源气体可在无任何伴随的惰性气体的情况下流动,使得源气体与100体积%的氢气一起递送。
可控制一或多个沉积工艺条件以影响碳膜沉积的各种方面(例如,沉积速率)和膜特性(例如,硬度、密度、保形性、氢含量等)。应理解,上文所描述的沉积工艺条件并不意图为限制性的,且可控制不同或额外的沉积工艺条件以影响碳膜沉积。
在一些实施方案中,碳膜可具有等于或大于约90%、等于或大于约95%、或等于或大于约99%的阶梯覆盖率。在衬底具有等于或大于约3:1、等于或大于约5:1、等于或大于约7:1或等于或大于约10:1的凹陷特征的情况下,可实现此高阶梯覆盖率。可通过将特征的底部、侧壁或顶部上的所沉积碳膜的平均厚度与特征的另一位置(即,特征的底部、侧壁或顶部)上的所沉积碳膜的平均厚度进行比较来计算阶梯覆盖率。举例来说,可通过将侧壁上的所沉积碳膜的平均厚度除以特征顶部处的所沉积碳膜的平均厚度且将值乘以100以获得百分比来计算阶梯覆盖率。因此,本公开的自由基活化碳膜沉积可在例如非晶氢化碳膜的碳膜中实现类似ALD的保形性。
在一些实施方案中,本公开中的自由基活化碳膜沉积可沉积具有所要量的sp2到sp3键合和氢含量的碳膜。以此方式,不仅可沉积高度石墨或类金刚石膜,而且可沉积各种类型的非晶碳膜。碳膜中sp3碳键合的量可为0%与100%之间的任何值。在一些实施例中,碳膜中sp3碳键合的量等于或大于约25%。在一些实施方案中,碳膜中sp3碳键合的量等于或大于约40%。相比之下,许多常规基于等离子体或基于热的沉积技术产生主要具有sp2碳键合(即,低sp3碳键合)的碳膜。除非应用极高的温度或应用直接等离子体,否则许多此类基于常规等离子体或基于热的沉积技术产生具有高氢含量的碳膜。本公开的碳膜可以低氢含量沉积。在一些实施例中,碳膜中的氢含量等于或小于约70原子%、约10原子%与约70原子%之间或约20原子%与约70原子%之间。
在一些实施方案中,将通过自由基活化沉积的碳膜的密度控制到所期望水平。举例来说,碳膜的密度在约1.1g/cm3与约3.5g/cm3之间。在一些实施方案中,将通过自由基活化沉积的碳膜的折射率控制到所期望水平。举例来说,碳膜的折射率在约1.5与约2.5之间。在一些实施方案中,将通过自由基活化沉积的碳膜的硬度控制到所期望水平。具体来说,碳膜的硬度在约1GPa与约80GPa之间。前述特性中的一些或全部可通过改变碳膜中sp3键合和/或氢含量的量来调节。碳膜的其它特性(例如,固有应力、消光系数、带隙、蚀刻选择性)可通过改变sp3键合的量和/或氢含量来调节。
可通过自由基活化来沉积广泛范围的碳膜。碳膜为未掺杂的。具体来说,本公开的碳膜不包含金属掺杂碳膜、氧掺杂、氮掺杂碳或硅掺杂碳。在某些情况下,可以沉积高度保形、具有低氢含量且具有大量sp3键合的非晶氢化碳膜。举例来说,非晶氢化碳膜可在凹陷特征上具有至少90%的阶梯覆盖率,具有在约20原子%与约70原子%之间的氢含量,且具有大于约25%的sp3碳键合的量。
将结晶或非晶碳膜沉积在衬底的暴露表面上。通过自由基活化沉积的碳膜可在某些材料上选择性地发生。在一些实施方案中,碳膜选择性地沉积在例如铜、钴、钼、钨或钌的金属上。金属可用作用于促进金属表面上的碳的成核的催化剂。对于结晶碳膜,例如石墨烯,可在金属表面上发生选择性沉积。在一些实施方案中,将碳膜沉积在非金属层上。因此,非晶碳膜可沉积在非金属层上,例如氧化硅、氮化硅、硅或碳。实际上,非晶碳膜可在其它碳膜(例如高sp2含量碳膜)上生长。在不受任何理论限制的情况下,本公开的非晶碳膜可经由在气相中产生的碳中心自由基在非金属层上生长。非晶碳膜可替代地沉积在金属层上,例如铜、钨、钼或钌。此类沉积可或可不通过金属与碳的相互作用来辅助。通过自由基活化沉积的非晶碳膜不限于在金属表面上的选择性沉积,而是可沉积在各种金属或非金属表面上。金属或非金属(例如,电介质)表面上的沉积可取决于沉积温度。在某些材料上和在某些温度下,通过源气体自由基(例如,氢自由基)的蚀刻可比沉积进行得更快。因此,温度可足够低,使得沉积可超过对例如氧化硅、氮化硅、高sp2含量碳和硅的材料的蚀刻。举例来说,对于氧化硅、氮化硅、高sp2含量碳或硅上的非晶碳膜沉积,温度可等于或小于约150℃。
本公开的一个方面为配置成实现本文所描述的自由基活化碳膜沉积方法的设备。合适的设备包含用于实现过程操作的硬件和具有用于控制根据本公开的过程操作的指令的系统控制器。在一些实施方案中,用于执行前述过程操作的设备可包含远程等离子体源。与直接等离子体相比,远程等离子体源提供温和反应条件。合适的远程等离子体设备的实例描述于2013年10月24日申请的美国专利申请第14/062,648号中,所述专利申请以全文引用的方式并入本文中且用于所有目的。
图3示出根据一些实施方案的具有远程等离子体源的实例等离子体处理设备的示意图。等离子体处理设备300包含与反应腔室304分隔开的远程等离子体源302。远程等离子体源302经由喷头306与反应腔室304流体地耦合,所述喷头也可称为多端口气体分配器。自由基物质在远程等离子体源302中产生且供应到反应腔室304。在远程等离子体源302下游且在喷头306下游将一或多种含碳前体供应到反应腔室304。一或多种含碳前体与自由基物质在反应腔室304的化学气相沉积区域308中反应以将碳膜沉积在衬底312的前表面上。化学气相沉积区域308包含邻近于衬底312的前表面的环境,其中衬底312的前表面面向远程等离子体源302。
衬底312支撑在衬底支撑件或基座314上。基座314可在反应腔室304内移动以将衬底312定位在化学气相沉积区域308内。在图3中所绘示的实施例中,底座314绘示为在化学气相沉积区域308内具有升高的衬底310。在一些实施例中,基座314还可调节衬底312的温度,这可提供对衬底312上的热活化表面反应的一些选择性控制。
图3绘示布置在远程等离子体源302周围的线圈318,其中远程等离子体源302包含外壁(例如,石英圆顶)。线圈318电耦合到等离子体产生器控制器322,所述等离子体产生器控制器可用于经由电感耦合等离子体产生在等离子体区324内形成且维持等离子体。在一些实施方案中,等离子体产生器控制器322可包含用于将功率供应到线圈318的电源,其中功率在等离子体产生期间可在约1与6kW之间的范围内。在一些实施方案中,用于平行板或电容耦合等离子体产生的电极或天线可用于经由等离子体激发而非电感耦合等离子体产生来产生连续的自由基供应。不管用于激发和维持等离子体区324中的等离子体的机制如何,可在膜沉积期间使用等离子体激发连续产生自由基物质。在一些实施方案中,氢自由基在稳态膜沉积期间在近似稳态条件下产生,尽管瞬变可能发生在膜沉积的开始和结束时。
当将氢气或其它源气体供应到远程等离子体源302时,可在等离子体区324内连续产生自由基供应。可在远程等离子体源302中产生经激发自由基。如果未再激发或再供应能量或与其它自由基重组,那么经激发自由基失去其能量或松弛。因此,经激发自由基可松弛以形成处于基本上低能态或基态的自由基。
氢气(H2)或其它源气体可用一或多种额外气体稀释。这些一或多种额外气体可供应到远程等离子体源302。在一些实施方案中,氢气或其它源气体与一或多种额外气体混合以形成气体混合物,其中一或多种额外气体可包含载气。额外气体的非限制性实例可包含氦(He)、氖(Ne)、氩(Ar)、氪(Kr)、氙(Xe)和氮(N2)。一或多种额外气体可支持或稳定远程等离子体源302内的稳态等离子体条件,或辅助瞬时等离子体点火或消光过程。在一些实施方案中,用氦气稀释氢气或其它源气体例如可准许更高的总压力而不伴随等离子体击穿。换句话说,氢气和氦气的稀释气体混合物可准许更高的总气体压力而不增加远程等离子体源302的等离子体功率。在某些实施例中,氢气提供于例如氦气的载体中。作为实例,氢气可以约1%到25%氢或约1%到10%氢的浓度提供于氦载体中。
如图3中所绘示,源气体供应器326与远程等离子体源302流体地耦合以用于供应氢气或源气体。另外,额外气体供应器328与远程等离子体源302流体地耦合以用于供应一或多种额外气体。一或多种额外气体还可包含共反应物气体。虽然图3中的实施例描绘源气体与通过单独气体出口引入的一或多种额外气体的气体混合物,但应理解,气体混合物可直接引入到远程等离子体源302中。也就是说,预混合的稀释气体混合物可通过单个气体出口供应到远程等离子体源302。
例如激发氢和氦自由基和松弛气体/自由基的气体流出远程等离子体源302且经由喷头306进入反应腔室304。喷头306内和反应腔室304内的气体通常不受其中连续的等离子体激发的影响。在一些实施方案中,喷头306包含离子过滤器和/或光子过滤器。过滤离子和/或光子可减少反应腔室304内的衬底损坏、不期望的分子再激发和/或烃前体的选择性击穿或分解。喷头306可具有多个气体端口334以将气体流扩散到反应腔室304中。在一些实施方案中,多个气体端口334可彼此间隔开。在一些实施方案中,多个气体端口334可布置成延伸穿过将远程等离子体源302与反应腔室304分隔开的板的规则间隔开的通道或通孔的阵列。多个气体端口334可将从远程等离子体源302离开的自由基平稳地分散和扩散到反应腔室304中。
典型的远程等离子体源远离反应容器去除。因此,自由基消光和重组(例如,经由壁碰撞事件)可基本上减少活性物质。相比之下,在一些实施方案中,多个气体端口334的尺寸可根据典型处理条件下的平均自由路径或气流停留时间来配置,以帮助自由基自由通过进入反应腔室304。在一些实施方案中,多个气体端口334的开口可占据喷头306的暴露表面积的约5%与约20%之间。在一些实施方案中,多个气体端口334可各自具有在约3:1与10:1之间或在约6:1与约8:1之间的轴向长度与直径比。此类纵横比可降低通过多个气体端口334的自由基物质的壁碰撞频率,同时为大部分激发态自由基物质提供足够的时间以松弛到基态自由基物质。在一些实施方案中,多个气体端口334的尺寸可配置成使得通过喷头306的气体的停留时间大于激发态自由基物质的典型高能松弛时间。氢源气体的激发态自由基物质可由图3中的·H*表示,且氢源气体的基态自由基物质可由图3中的·H表示。
在一些实施方案中,离开多个气体端口334的激发态自由基物质可流入包含于反应腔室304内部内的松弛区域338中。松弛区域338定位于化学气相沉积区域308的上游但喷头306的下游。离开喷头306的基本上全部或至少90%的激发态自由基物质将在松弛区域338中转变成松弛态自由基物质。换句话说,进入松弛区域338的几乎所有激发态自由基物质(例如,经激发氢自由基)在离开松弛区域338之前变得去激发或转变为松弛态自由基物质(例如,基态氢自由基)。在一些实施方案中,松弛区域338的工艺条件或几何形状可配置成使得流动通过松弛区域338的自由基物质的停留时间,例如由平均自由路径和平均分子速度确定的时间,导致松弛态自由基物质流出松弛区域338。
在将自由基物质从喷头306递送到松弛区域338的情况下,可将一或多种含碳前体引入到化学气相沉积区域308中。可经由气体分配器或气体出口342引入一或多种含碳前体,其中气体出口342可与前体供应源340流体地耦合。松弛区域338可容纳在喷头306与气体出口342之间的空间内。气体出口342可包含彼此间隔开的开口,使得可在与从松弛区域338流动的气体混合物平行的方向上引入一或多种含碳前体的流动。气体出口342可位于喷头306和松弛区域338的下游。气体出口342可位于化学气相沉积区域308和衬底312的上游。化学气相沉积区域308位于反应腔室304的内部内且位于气体出口342与衬底312之间。
可防止一或多种含碳前体的基本上所有流动与邻近于喷头306的激发态自由基物质混合。松弛或基态自由基物质在邻近于衬底312的区中与一或多种含碳前体混合。化学气相沉积区域308包含邻近于衬底312的区,其中松弛或基态自由基物质与一或多种含碳前体混合。在石墨烯的CVD形成期间,松弛或基态自由基物质与气相中的一或多种含碳前体混合。
在一些实施方案中,共反应物可从喷头306引入且与在远程等离子体源302中产生的自由基物质一起流动且进入反应腔室304中。这可包含提供于远程等离子体源302中的共反应物气体的自由基和/或离子。共反应物可从额外气体供应器328供应。在一些实施方案中,共反应物可包含含氮试剂,例如氮气(N2)。举例来说,在衬底312的暴露表面的预处理期间,氮的自由基和/或离子可与氢的自由基物质一起产生和流动。
气体出口342可与喷头306分隔开足够的距离以防止一或多种含碳前体的反向扩散或反向流动。这可为氢的自由基物质提供足够的时间以从激发态转变到松弛态(例如,基态)。在一些实施方案中,气体出口342可与多个气体端口334分隔开约12mm与约150mm之间、约15mm与约100mm之间或约20mm与约70mm之间的距离。
工艺气体可经由流体地耦合到泵(未绘示)的出口348从反应腔室304去除。因此,可以从反应腔室304去除过量的含碳前体、共反应物、自由基物质和稀释剂以及置换或净化气体。在一些实施方案中,系统控制器350与等离子体处理设备300可操作地通信。在一些实施方案中,系统控制器350包含配置成执行保持于数据系统354(例如,存储器)中的指令的处理器系统352(例如,微处理器)。在一些实施方案中,系统控制器350可与等离子体产生器控制器322通信以控制等离子体参数和/或条件。在一些实施方案中,系统控制器350可与基座314通信以控制基座高程和温度。在一些实施方案中,系统控制器350可控制其它处理条件,例如RF功率设置、频率设置、占空比、脉冲时间、反应腔室304内的压力、远程等离子体源302内的压力、来自源气体供应器326和额外气体供应器328的气体流动速率、来自前体供应源340和其它源的气体流动速率、基座314的温度和反应腔室304的温度等。
系统控制器350可含有用于控制用于等离子体处理设备300的操作的工艺条件的指令。系统控制器350将通常包含一或多个存储器装置和一或多个处理器。处理器可包含CPU或计算机、模拟和/或数字输入/输出连接、步进式电机控制器板等。在处理器上执行用于实施适当控制操作的指令。这些指令可存储在与系统控制器350相关联的存储器装置上,或其可经由网络提供。
在某些实施例中,系统控制器350控制本文所描述的等离子体处理设备300的所有或大部分活动。举例来说,系统控制器350可控制与自由基活化碳膜沉积和任选地包含碳膜的制造流程中的其它操作相关联的等离子体处理设备300的所有或大部分活动。系统控制器350可执行包含用于控制计时、气体组成、气体流动速率、腔室压力、腔室温度、RF功率电平、衬底位置和/或其它参数的指令集的系统控制软件。在一些实施例中,可采用存储在与系统控制器350相关联的存储器装置上的其它计算机程序、脚本或例程。为了在邻近于衬底312的环境下提供相对温和的反应性条件,例如RF功率电平、到等离子体区324的气体流动速率、到化学气相沉积区域308的气体流动速率和等离子体点火的计时可由系统控制器350调节和维持。另外,调整衬底位置可进一步减少邻近于衬底312的环境处的高能自由基物质的存在。在多站反应器中,系统控制器350可包括用于不同设备站的不同或相同指令,因此允许设备站独立地或同步地操作。
在一些实施例中,系统控制器350可包含用于执行例如以下操作的指令:使含碳前体流动通过气体出口342进入反应腔室304,将源气体提供到远程等离子体源302中,在一或多种含碳前体上游的远程等离子体源302中产生源气体的自由基物质,将来自远程等离子体源302的自由基物质引入到反应腔室304中以与含碳前体反应以将结晶或非晶碳膜沉积在衬底312上。含碳前体可包含一或多个C-C键和/或一或多个C-H键。在邻近于衬底312的环境中的反应腔室304中的自由基物质可为处于足以活化一或多个C-C键和/或一或多个C-H键以形成含活化碳的前体的能态的氢自由基。在一些实施方案中,系统控制器350可包含用于将衬底312的温度维持在约50℃与约550℃之间的指令。在一些实施方案中,含碳前体中的每一个包含直链、支链或环状烯烃或炔烃基团。在一些实施方案中,含碳前体中的每一个包含支链或环状烷烃基团。
在一些实施例中,设备300可包含与系统控制器350相关联的用户接口。用户接口可包含显示屏幕、设备300和/或处理条件的图形软件显示器和用户输入装置,例如指向装置、键盘、触摸屏、麦克风等。
用于控制以上操作的计算机程序代码可以任何常规计算机可读编程语言编写:例如,汇编语言、C、C++、Pascal、Fortran或其它。由处理器执行编译的目标代码或脚本以执行程序中标识的任务。
用于监测过程的信号可由系统控制器的模拟和/或数字输入连接提供。用于控制过程的信号在处理系统的模拟和数字输出连接上输出。
一般来说,本文中所描述的方法可对包含半导体处理设备的系统执行,所述半导体处理设备例如一或多个处理工具、一或多个腔室、一或多个用于处理的平台和/或特定处理组件(晶片基座、气体流动系统等)。这些系统可与用于在处理半导体晶片或衬底之前、期间以及之后控制其操作的电子设备集成。一般来说,电子器件被称为系统控制器,其可控制一或多个系统的各种组件或子部分。取决于处理要求和/或系统的类型,系统控制器可编程以控制本文中所公开的方法中的任一个,包含处理气体的递送、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、RF产生器设置、RF匹配电路设置、频率设置、流动速率设置、流体递送设置、位置及操作设置、晶片移入和移出工具和其它传送工具和/或连接到特定系统或与特定系统介接的装载锁。
概括地说,系统控制器可定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可包含呈固件形式的芯片,所述固件储存程序指令、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一或多个微处理器,或执行程序指令(例如,软件)的微控制器。程序指令可为以各种个别设置(或程序文件)形式传达到系统控制器的指令,定义用于在半导体晶片上或对半导体晶片或对系统进行特定处理的操作参数。在一些实施例中,操作参数可为由处理工程师定义以在制造晶片的一或多个层、材料(例如,碳化硅)、表面、电路和/或裸片期间实现一或多个处理步骤的配方的部分。
在一些实施方案中,系统控制器可为计算机的一部分或耦合到计算机,所述计算机与系统集成、耦合到系统、以其它方式联网到系统或其组合。举例来说,系统控制器可在“云端”或工厂主控计算机系统的全部或一部分中,其可允许对晶片处理的远程访问。计算机可实现对系统的远程访问以监测制造操作的当前进程、检查过去制造操作的历史、检查来自多个制造操作的趋势或性能度量以改变当前处理的参数,以设置处理步骤以遵循当前处理,或开始新处理。在一些实例中,远程计算机(例如,服务器)可经由网络将过程配方提供到系统,所述网络可包含本地网络或因特网。远程计算机可包含能够输入或编程参数和/或设置的用户接口,所述用户接口接着从远程计算机传达到系统。在一些实例中,系统控制器接收呈数据形式的指令,其指定待在一或多个操作期间执行的处理步骤中的每一个的参数。应理解,参数可特定针对待执行的处理的类型和系统控制器配置成与之介接或控制的工具的类型。因此,如上文所描述,系统控制器可例如通过包括联网在一起且朝向共同目的(例如本文所描述的过程和控制)工作的一或多个离散控制器而分布。用于此类目的的分布式控制器的实例将为与位于远程(例如,在平台层级处或作为远程计算机的部分)的一或多个集成电路通信的腔室上的一或多个集成电路,所述集成电路组合以控制腔室上的过程。
除本文所描述的结晶或非晶碳膜沉积之外,实例系统可包含等离子体蚀刻腔室或模块、沉积腔室或模块、自旋冲洗腔室或模块、金属镀覆腔室或模块、清洁腔室或模块、斜面边缘蚀刻腔室或模块、物理气相沉积(PVD)腔室或模块、化学气相沉积(CVD)腔室或模块、原子层沉积(ALD)腔室或模块、原子层蚀刻(ALE)腔室或模块、离子注入腔室或模块、径迹腔室或模块,以及可与半导体晶片的制造和/或制作相关联或用于半导体晶片的制造和/或制作的任何其它半导体处理系统。
如上所述,取决于待由工具执行的一或多个过程步骤,系统控制器可与其它工具电路或模块、其它工具组件、群集工具、其它工具接口、邻近工具、相邻工具、位于整个工厂中的工具、主计算机、另一控制器或在材料传送中使用的工具中的一或多个通信,这些工具将晶片的容器带到半导体制造厂中的工具位置和/或装载端口和从工具位置和/或装载端口带出。
图4A示出沉积在衬底的介电层上的实例碳膜的横截面示意图。非晶碳膜402可在产生邻近于衬底400的相对温和环境的工艺条件下形成。衬底400可为任何晶片、半导体晶片、部分制造的集成电路、印刷电路板、显示屏幕或其它适当的工件。介电层401a可形成于衬底400上。介电层401a可由氧化硅或氮化硅构成。或者,介电层401a可由例如DLC膜层的碳层构成。非晶碳膜402可通过如上文所描述的自由基活化碳膜沉积沉积在介电层401a上。自由基在远程等离子体源中产生,基于碳的前体经由位于远程等离子体源下游的气体出口流动到反应腔室中。自由基选择性地活化基于碳的前体中的特定键,例如C-C键或C-H键,以形成碳自由基。碳自由基为非晶碳膜402的沉积提供活性位点。基于碳的前体的工艺条件和选择可显著改变非晶碳膜402的特性。
图4B示出沉积在衬底的半导体层上的实例碳膜的横截面示意图。半导体层401b可形成于衬底400上。半导体层401b可由掺杂或未掺杂硅构成。非晶碳膜402可通过如上文所描述的自由基活化碳膜沉积沉积在半导体层401b上。
图4C示出沉积在衬底的金属层上的实例碳膜的横截面示意图。金属层401c可形成于衬底400上。金属层401c可由合适的金属(例如,铜、钨、钼、钴或钌)构成。金属层401c可用作催化剂以促进块状碳的成核,尤其是具有高sp2键合的更多石墨碳的成核。非晶碳膜402可通过如上文所描述的自由基活化碳膜沉积沉积在金属层401c上。
图5A示出作为沉积在双镶嵌结构中的衬里层的实例碳膜的横截面示意图。如图5A中所示出,介电层500可具有切入介电层500的多个沟槽或通孔510。非晶碳膜502可沿着沟槽或通孔510沉积,其中非晶碳膜502可用作双镶嵌结构中的衬里层、粘着层、蚀刻终止层和/或阻挡层。随后可用金属填充沟槽或通孔510。
图5B示出作为衬底上的沉积抑制剂的实例碳膜的横截面示意图。在图5B中,非晶碳膜530沉积在衬底520的顶部表面上。衬底520的顶部表面可为非金属或金属表面。材料层532沉积在衬底520上方而不沉积在非晶碳膜530上。在一些实施方案中,材料层532为金属、金属氧化物或金属氮化物。
图5C示出作为凹陷特征中的间隙填充物的实例保形碳膜的横截面示意图。在图5C中,非晶碳膜552用作衬底550的凹陷特征中的间隙填充。
通过如上文所描述的自由基活化碳膜沉积形成的结晶或非晶碳膜可用作衬里、间隙填充、沉积抑制剂和选择性沉积。
在前述描述中,阐述许多特定细节以提供对所呈现实施例的透彻理解。可在没有这些特定细节中的一些或全部的情况下实践所公开的实施例。在其它情况下,未详细描述众所周知的过程操作以免不必要地混淆所公开的实施例。虽然将结合特定实施例描述所公开的实施例,但应理解,其并不意图限制所公开的实施例。
尽管出于清楚理解的目的已在一些细节上描述了前述实施例,但是将显而易见的是,可在所附权利要求书的范围内实践某些改变和修改。应注意,存在实施本实施例的过程、系统和设备的许多替代方式。因此,本实施例被视为说明性而非限制性的,且实施例不限于本文中给出的细节。

Claims (20)

1.一种在衬底上沉积非晶或结晶碳膜的方法,所述方法包括:
使一或多种含碳前体朝向反应腔室中的衬底流动到所述反应腔室中,所述含碳前体中的每一种具有以下中的至少一个:一或多个C-C键或一或多个C-H键;
在位于所述一或多种含碳前体上游的远程等离子体源中从源气体产生所述源气体的自由基;以及
将所述源气体的所述自由基朝向所述衬底引入到所述反应腔室中,其中所述自由基处于足以活化C-C键和/或C-H键且在邻近于所述衬底的环境中形成含活化碳自由基的前体的能态,其中所述含活化碳自由基的前体沉积以在所述衬底上形成非晶或结晶碳膜。
2.根据权利要求1所述的方法,其中所述源气体包括氢气且所述源气体的所述自由基为氢自由基。
3.根据权利要求2所述的方法,其中所述氢自由基为在邻近于所述衬底的环境中处于基态的氢自由基。
4.根据权利要求1所述的方法,其中所述衬底包括氧化硅、氮化硅、硅或碳的非金属层,且所述非晶或结晶碳膜沉积在所述非金属层上。
5.根据权利要求1所述的方法,其中所述衬底包括铜、钴、钼、钨或钌的金属层,且所述非晶或结晶碳膜沉积在所述金属层上。
6.根据权利要求1所述的方法,其中所述一或多种含碳前体包括直链烯烃、直链炔烃、支链烯烃、支链炔烃、环状烯烃或环状炔烃基团中的至少一种。
7.根据权利要求1所述的方法,其中所述一或多种含碳前体包括支链烷烃基团或环状烷烃基团。
8.根据权利要求1所述的方法,其中所述一或多种含碳前体包括经卤基取代的烷烃、经卤基取代的烯烃或经卤基取代的炔烃基团。
9.根据权利要求1所述的方法,其中所述一或多种含碳前体包括经卤代烷基取代的烷烃、经卤代烷基取代的烯烃、经卤代烷基取代的炔烃、经羧基取代的烷烃、经羧基取代的烯烃、经羧基取代的炔烃、经氰基取代的烷烃、经氰基取代的烯烃、经氰基取代的炔烃、经羰基取代的烷烃、经羰基取代的烯烃、经羰基取代的炔烃、经磺酰基取代的烷烃、经磺酰基取代的烯烃、经磺酰基取代的炔烃、经硝基取代的烷烃、经硝基取代的烯烃、经硝基取代的炔烃、经磺酰卤取代的烯烃、经磺酰卤取代的烯烃、经磺酰卤取代的炔烃、经磺酰胺取代的烷烃、经磺酰胺取代的烯烃或经磺酰胺取代的炔烃基团。
10.根据权利要求1所述的方法,其中所述一或多种含碳前体包括经醇取代的烷烃、经醇取代的烯烃、经醇取代的炔烃、经醚取代的烷烃、经醚取代的烯烃、经醚取代的炔烃、经醚取代的烷烃、经醚取代的烯烃、经醚取代的炔烃、经O-酰基取代的烷烃、经O-酰基取代的烯烃、经O-酰基取代的炔烃、经胺取代的烷烃、经胺取代的烯烃、经胺取代的炔烃、经N-酰基取代的烷烃、经N-酰基取代的烯烃或经N-酰基取代的炔烃基团。
11.根据权利要求1所述的方法,其中所述非晶或结晶碳膜为氢含量在约20原子%与约70原子%之间的非晶碳膜。
12.根据权利要求11所述的方法,其中所述衬底具有一或多个凹陷特征,所述非晶或结晶碳膜沉积在所述一或多个凹陷特征中且具有等于或大于约90%的阶梯覆盖率。
13.根据权利要求11所述的方法,其中所述非晶碳膜具有约1.5与约2.5之间的折射率和约1.1g/cm3与约3.5g/cm3之间的密度。
14.根据权利要求11所述的方法,其中所述非晶碳膜在约50℃与约550℃之间的沉积温度下以等于或大于约
Figure FDA0004028920880000021
/分钟的沉积速率沉积。
15.根据权利要求1所述的方法,其中所述非晶或结晶碳膜中sp3碳键合的量等于或大于约25%。
16.一种在衬底上沉积非晶氢化碳膜的方法,所述方法包括:
使一或多种含碳前体朝向反应腔室中的衬底流动到所述反应腔室中,所述含碳前体中的每一种具有以下中的至少一个:一或多个C-C键或一或多个C-H键;
在位于所述一或多种含碳前体上游的远程等离子体源中从氢源气体产生氢自由基;以及
将所述氢自由基引入到所述反应腔室中且朝向所述衬底,其中所述自由基处于足以活化所述一或多个C-C键和/或所述一或多个C-H键且在邻近于所述衬底的环境中形成含活化碳的前体的能态,其中所述含活化碳的前体沉积以在所述衬底上形成非晶氢化碳膜,氢含量在约20原子%与约70原子%之间。
17.根据权利要求16所述的方法,其中所述非晶氢化碳膜具有在约1.1g/cm3与约3.5g/cm3之间的密度。
18.根据权利要求16所述的方法,其中所述一或多种含碳前体包括直链烯烃、直链炔烃、支链烯烃、支链炔烃、环状烯烃基团或环状炔烃基团中的至少一种。
19.根据权利要求16所述的方法,其中所述一或多种含碳前体包括支链烷烃基团和/或环状烷烃基团。
20.根据权利要求16所述的方法,其中所述衬底具有一或多个凹陷特征,所述非晶氢化碳膜沉积于所述一或多个凹陷特征中且具有等于或大于约90%的阶梯覆盖率。
CN202280005379.8A 2021-06-23 2022-06-16 自由基活化的碳膜沉积 Pending CN116034183A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163202775P 2021-06-23 2021-06-23
US63/202,775 2021-06-23
PCT/US2022/033777 WO2022271525A1 (en) 2021-06-23 2022-06-16 Radical-activated carbon film deposition

Publications (1)

Publication Number Publication Date
CN116034183A true CN116034183A (zh) 2023-04-28

Family

ID=84545813

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280005379.8A Pending CN116034183A (zh) 2021-06-23 2022-06-16 自由基活化的碳膜沉积

Country Status (4)

Country Link
KR (1) KR20240022392A (zh)
CN (1) CN116034183A (zh)
TW (1) TW202319341A (zh)
WO (1) WO2022271525A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114641345A (zh) * 2019-09-11 2022-06-17 迪亚罗科技股份有限公司 液相合成金刚石和碳的所有其他同素异形体的工艺和装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120276743A1 (en) * 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
US20150371851A1 (en) * 2013-03-15 2015-12-24 Applied Materials, Inc. Amorphous carbon deposition process using dual rf bias frequency applications
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
JP2018105998A (ja) * 2016-12-26 2018-07-05 キヤノン株式会社 コロナ帯電器および電子写真装置
TWI820667B (zh) * 2018-06-19 2023-11-01 美商應用材料股份有限公司 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114641345A (zh) * 2019-09-11 2022-06-17 迪亚罗科技股份有限公司 液相合成金刚石和碳的所有其他同素异形体的工艺和装置

Also Published As

Publication number Publication date
WO2022271525A1 (en) 2022-12-29
KR20240022392A (ko) 2024-02-20
TW202319341A (zh) 2023-05-16

Similar Documents

Publication Publication Date Title
US9793135B1 (en) Method of cyclic dry etching using etchant film
US9514932B2 (en) Flowable carbon for semiconductor processing
US20220375722A1 (en) Selective graphene deposition using remote plasma
CN112673123B (zh) 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积
US8361906B2 (en) Ultra high selectivity ashable hard mask film
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US8105465B2 (en) Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US20160086794A9 (en) Nitrogen doped amorphous carbon hardmask
US20220399230A1 (en) Graphene integration
US20230245924A1 (en) Selective deposition using graphene as an inhibitor
JP2016181687A5 (zh)
CN116034183A (zh) 自由基活化的碳膜沉积
WO2023056393A1 (en) Deposition and treatment of nano-graphene at low temperatures
KR20240075894A (ko) 저온에서의 나노­그래핀의 증착 및 처리
CN117999646A (zh) 双重镶嵌互连件中的石墨烯覆盖铜

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination