CN115769342A - Substrate processing method and substrate processing apparatus - Google Patents

Substrate processing method and substrate processing apparatus Download PDF

Info

Publication number
CN115769342A
CN115769342A CN202180047544.1A CN202180047544A CN115769342A CN 115769342 A CN115769342 A CN 115769342A CN 202180047544 A CN202180047544 A CN 202180047544A CN 115769342 A CN115769342 A CN 115769342A
Authority
CN
China
Prior art keywords
substrate
liquid
processing
treatment
processing liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180047544.1A
Other languages
Chinese (zh)
Inventor
田原香奈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Holdings Co Ltd
Original Assignee
Screen Holdings Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Screen Holdings Co Ltd filed Critical Screen Holdings Co Ltd
Publication of CN115769342A publication Critical patent/CN115769342A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)

Abstract

The substrate processing method includes: a 1 st treatment liquid supply step of applying a 1 st treatment liquid containing one of sulfuric acid and hydrogen peroxide water to a surface of a substrate; a 2 nd treatment liquid supply step of supplying a 2 nd treatment liquid containing the other of sulfuric acid and hydrogen peroxide water and having a viscosity lower than that of the 1 st treatment liquid to the surface of the substrate coated with the 1 st treatment liquid; a mixed liquid treatment step of treating the surface of the substrate with a mixed liquid of hydrogen peroxide sulfate, which is generated by mixing the 1 st treatment liquid and the 2 nd treatment liquid on the surface of the substrate; and a rinsing step of supplying a rinsing liquid to the substrate after the liquid mixture treatment step, thereby rinsing the liquid mixture of hydrogen peroxide sulfate and water from the surface of the substrate.

Description

Substrate processing method and substrate processing apparatus
Technical Field
This application claims priority based on japanese patent application No. 2020-120953, filed on 14/7/2020, the entire contents of which are incorporated herein by reference.
The present invention relates to an apparatus and method for processing a substrate. Examples of the substrate to be processed include a semiconductor wafer, a substrate for a liquid crystal Display device, a substrate for a Flat Panel Display (FPD) such as an organic Electroluminescence (EL) Display device, a substrate for an optical disc, a substrate for a magnetic disc, a substrate for a magneto-optical disc, a substrate for a photomask, a ceramic substrate, and a substrate for a solar cell.
Background
The following methods are known: a1 st processing liquid and a 2 nd processing liquid are mixed and reacted on a substrate, and the substrate is processed by a reaction product. Specifically, in a resist stripping treatment for stripping a resist remaining on a substrate after dry etching, an SPM (sulfuric acid/hydrogen peroxide solution) generated by mixing sulfuric acid with hydrogen peroxide solution may be used.
Patent document 1 discloses the following substrate processing: sulfuric acid and hydrogen peroxide water are mixed in a nozzle to prepare an SPM, and the SPM is supplied to the surface of the substrate. Patent document 2 discloses the following substrate processing: the SPM is generated by supplying sulfuric acid and hydrogen peroxide water from another nozzle onto a substrate and mixing them on the substrate.
Documents of the prior art
Patent document
Patent document 1: japanese patent laid-open publication No. 2019-207948
Patent document 2: japanese patent laid-open No. 2004-349669
Disclosure of Invention
Problems to be solved by the invention
In the substrate processing of patent document 1, since the processing is performed while the processing liquid is flown out, the consumption amount of the processing liquid increases. Specifically, the SPM needs to be discharged from the nozzle at a flow rate of about 0.5 to 2 liters/minute. Therefore, there is still room for improvement in terms of the cost and environmental load of substrate processing.
Patent document 2 discloses the following substrate processing: a liquid film of sulfuric acid is formed on a substrate, and hydrogen peroxide water is supplied in a mist form to the liquid film. This treatment is preferable in particular in terms of reducing the consumption amount of sulfuric acid, but it is difficult to form a liquid film uniformly covering the entire area of the substrate surface and also difficult to maintain a state in which the liquid film covers the entire area of the substrate surface. Therefore, there is still room for improvement in the uniformity of the treatment.
Accordingly, one embodiment of the present invention provides a substrate processing method and a substrate processing apparatus capable of uniformly processing a surface of a substrate and reducing consumption of a processing liquid.
Means for solving the problems
One embodiment of the present invention is to provide a substrate processing method, including: a 1 st treatment liquid supply step of applying a 1 st treatment liquid containing one of sulfuric acid and hydrogen peroxide water to a surface of a substrate; a 2 nd treatment liquid supply step of supplying a 2 nd treatment liquid containing the other of sulfuric acid and hydrogen peroxide water and having a viscosity lower than that of the 1 st treatment liquid to the surface of the substrate coated with the 1 st treatment liquid; a mixed liquid treatment step of treating the surface of the substrate with a mixed liquid of hydrogen peroxide sulfate produced by mixing the 1 st treatment liquid and the 2 nd treatment liquid on the surface of the substrate; and a rinsing step of supplying a rinsing liquid to the substrate after the liquid mixture treatment step, thereby rinsing the liquid mixture of hydrogen peroxide sulfate and water from the surface of the substrate.
According to this method, since the 1 st processing liquid having a relatively high viscosity is applied to the surface of the substrate, the 1 st processing liquid can be uniformly applied to the surface of the substrate and can be brought into close contact therewith while suppressing the consumption amount of the 1 st processing liquid. And supplying a 2 nd processing liquid to the surface of the substrate coated with the 1 st processing liquid. Thereby, the 1 st processing liquid and the 2 nd processing liquid are mixed on the surface of the substrate to generate a hydrogen peroxide sulfate liquid mixture. Since the 1 st treatment liquid is uniformly applied to the surface of the substrate and is in close contact therewith, the surface of the substrate can be uniformly applied with the aqueous hydrogen sulfate peroxide solution without any omission. Since the 2 nd treatment liquid has a relatively low viscosity, the 1 st treatment liquid and the 2 nd treatment liquid are mixed quickly. Since the 1 st processing liquid and the 2 nd processing liquid are mixed on the substrate, the surface of the substrate can be processed by effectively utilizing heat generated by a reaction at the time of mixing. After the treatment with the aqueous solution of sulfuric acid and hydrogen peroxide, the treatment can be stopped by supplying a rinse liquid to the surface of the substrate to flush away the aqueous solution of sulfuric acid and hydrogen peroxide.
Thus, a substrate processing method capable of uniformly processing the surface of a substrate and reducing the consumption of a processing liquid can be provided.
One example of the treatment of the substrate is to remove foreign substances present on the surface of the substrate. Specific examples of the foreign matter include a residue and a film. The film removal may be peeling of the film or may be etching of a part of the film. One example of a film is a resist film.
In one embodiment of the present invention, the treatment solution 1 includes a tackifier. Thus, the viscosity of the 1 st treatment liquid can be adjusted by the tackifier, and therefore the 1 st treatment liquid can be applied to the surface of the substrate at a viscosity suitable for the treatment.
One embodiment of the present invention is to provide a substrate processing method, including: a 1 st processing liquid supply step of applying a 1 st processing liquid containing a tackifier to a surface of a substrate; a 2 nd treatment liquid supply step of supplying a 2 nd treatment liquid to the surface of the substrate coated with the 1 st treatment liquid; a mixed liquid treatment step of treating the surface of the substrate with a mixed liquid of hydrogen peroxide sulfate produced by mixing the 1 st treatment liquid and the 2 nd treatment liquid on the surface of the substrate; and a rinsing step of supplying a rinsing liquid to the substrate after the liquid mixture treatment step, thereby rinsing the aqueous hydrogen sulfate peroxide liquid mixture from the surface of the substrate.
According to this method, the surface of the substrate can be coated with the 1 st processing liquid and brought into close contact with the substrate while suppressing the consumption of the 1 st processing liquid containing the tackifier. And supplying a 2 nd processing liquid to the surface of the substrate coated with the 1 st processing liquid. Thereby, the 1 st processing liquid and the 2 nd processing liquid are mixed on the surface of the substrate to generate a hydrogen peroxide sulfate liquid mixture. Since the 1 st processing liquid is uniformly applied to the surface of the substrate and is in close contact with the substrate, the surface of the substrate can be uniformly applied with the hydrogen peroxide sulfate-water mixture without fail. Further, since the 1 st processing liquid and the 2 nd processing liquid are mixed on the substrate, the surface of the substrate can be processed by effectively utilizing heat generation by a reaction at the time of mixing. After the treatment with the hydrogen peroxide sulfate-water mixture, the treatment can be stopped by supplying a rinse liquid to the surface of the substrate to wash away the hydrogen peroxide sulfate-water mixture.
As in the case of the foregoing embodiment, one example of the treatment of the substrate is to remove foreign substances present on the surface of the substrate. Specific examples of the foreign matter include a residue and a film. The film removal may be peeling of the film or etching of a part of the film. One example of a film is a resist film.
One embodiment of the present invention is to provide a substrate processing method, including: a 1 st processing liquid supply step of applying a 1 st processing liquid containing hydrogen peroxide water and a tackifier to a surface of a substrate; a 2 nd treatment liquid supply step of supplying a 2 nd treatment liquid containing sulfuric acid to the surface of the substrate coated with the 1 st treatment liquid; a mixed liquid treatment step of treating the surface of the substrate with a mixed liquid of hydrogen peroxide sulfate produced by mixing the 1 st treatment liquid and the 2 nd treatment liquid on the surface of the substrate; and a rinsing step of supplying a rinsing liquid to the substrate after the liquid mixture treatment step, thereby rinsing the aqueous hydrogen sulfate peroxide liquid mixture from the surface of the substrate.
According to this method, since the hydrogen peroxide water is applied to the surface of the substrate so as to contain the first processing liquid of the tackifier, the hydrogen peroxide water can be applied to the surface of the substrate to adhere thereto while suppressing the consumption amount of the hydrogen peroxide water. The 2 nd treatment liquid containing sulfuric acid was supplied to the surface of the substrate coated with the 1 st treatment liquid (hydrogen peroxide water). Thereby, the hydrogen peroxide solution and the sulfuric acid are mixed with each other on the surface of the substrate to generate a hydrogen peroxide sulfate solution mixture. Since the 1 st treatment liquid is uniformly applied to the surface of the substrate and is in close contact therewith, the surface of the substrate can be uniformly applied with the aqueous hydrogen sulfate peroxide solution without any omission. Further, since the sulfuric acid and the hydrogen peroxide water are mixed on the substrate, the heat generated by the reaction at the time of mixing can be effectively utilized to treat the surface of the substrate. After the treatment with the hydrogen peroxide sulfate liquid mixture, the treatment can be stopped by supplying a rinse liquid to the surface of the substrate to wash away the hydrogen peroxide sulfate liquid mixture.
As in the case of the foregoing embodiment, one example of the treatment of the substrate is to remove foreign matter present on the surface of the substrate. Specific examples of the foreign matter include a residue and a film. The film removal may be peeling of the film or etching of a part of the film. An example of a film is a resist film.
In one embodiment of the present invention, the tackifier includes at least one selected from the group consisting of polyvinylpyrrolidone, polyacrylic acid, sodium polyacrylate, ammonium polyacrylate, crosslinked polyacrylic acid, crosslinked sodium polyacrylate, crosslinked acrylic polymer, and carboxylic acid copolymer.
The tackifier preferably has heat resistance such that the viscosity of the 1 st processing liquid can be maintained at a value equal to or higher than a desired value at the temperature of the 1 st processing liquid when supplied to the surface of the substrate. The required value in this case is a value that enables the 1 st treatment liquid to be uniformly applied to the surface of the substrate without any unevenness and can maintain the applied state for at least a certain period of time, and is preferably in the range of 30mPa · s to 3000mPa · s, for example. The thickener preferably has heat resistance capable of maintaining the viscosity of the mixed liquid at a value equal to or higher than a required value at the temperature of the mixed liquid of hydrogen peroxide sulfate in the mixed liquid treatment step. The required value in this case is a value that can maintain a state in which the hydrogen peroxide sulfate water mixture can be diffused without any leakage on the surface of the substrate for at least a certain period of time, and is preferably in a range of 30mPa · s to 3000mPa · s, for example.
In one embodiment of the present invention, the No. 2 treatment liquid does not contain a thickener. By not including the tackifier in the 2 nd processing liquid, the 2 nd processing liquid can be supplied to the surface of the substrate in a low viscosity state. This can promote mixing of the 1 st processing liquid and the 2 nd processing liquid on the substrate.
When the treatment solution 1 contains a tackifier, the tackifier is present in the mixed solution of sulfuric acid and hydrogen peroxide water generated on the substrate, and increases the viscosity thereof. Therefore, the treatment with the aqueous hydrogen peroxide sulfate solution can be performed in a state where the aqueous hydrogen peroxide sulfate solution is in intimate contact with the surface of the substrate without any leakage. Thereby, the surface of the substrate can be uniformly treated.
In order to reduce the consumption amount of the 2 nd treatment liquid, a tackifier may be contained in the 2 nd treatment liquid. However, the content thereof is preferably limited to the extent that it does not affect the mixing with the 1 st treatment liquid.
In one embodiment of the present invention, in the 1 st processing liquid supply step, the 1 st processing liquid is applied to the surface of the substrate to form a coating film of the 1 st processing liquid covering the entire surface of the substrate.
In this method, since the coating film (for example, gel-like coating film) of the 1 st processing liquid covers the entire area of the substrate surface, the 1 st processing liquid can be bonded to the entire area of the substrate surface. Therefore, the hydrogen peroxide sulfate solution mixture obtained by mixing the 1 st processing solution and the 2 nd processing solution can be mixed over the entire surface of the substrate, and the entire surface of the substrate can be uniformly processed.
In one embodiment of the present invention, in the 2 nd treatment liquid supply step, the 2 nd treatment liquid is supplied to the surface of the coating film of the 1 st treatment liquid. In the method, a coating film of a 1 st processing liquid is formed on a surface of a substrate, and a 2 nd processing liquid is supplied to the surface of the coating film. Therefore, since the carrying of the 1 st processing liquid to the outside of the substrate by the flow of the 2 nd processing liquid can be suppressed, the consumption amount of the 1 st processing liquid can be reduced.
In one embodiment of the present invention, the 2 nd processing liquid supply step is started in a state where supply of the 1 st processing liquid to the surface of the substrate is stopped.
In this method, the supply of the 1 st processing liquid (more specifically, the supply of a new liquid) is stopped and then the supply of the 2 nd processing liquid is started. Therefore, since the 1 st processing liquid can be prevented from being carried out of the substrate by the flow of the 2 nd processing liquid having a low viscosity, the consumption amount of the 1 st processing liquid can be reduced.
In one embodiment of the present invention, at least a part of the period in the mixed liquid treatment step overlaps with at least a part of the period in the 2 nd treatment liquid supply step.
The 1 st processing liquid and the 2 nd processing liquid are mixed by supplying the 2 nd processing liquid to the surface of the substrate in a state where the 1 st processing liquid is present on the surface of the substrate. Therefore, in the process of the 2 nd processing liquid supply step, the surface of the substrate may start to be processed using the mixed solution of the sulfuric acid and the hydrogen peroxide water.
In one embodiment of the present invention, the supply of the 2 nd processing liquid to the surface of the substrate is stopped during at least a part of the mixed liquid processing step.
In this method, the substrate surface is treated with the mixed solution of hydrogen peroxide sulfate and water in a state where the supply of the 2 nd treatment liquid (specifically, the supply of a new liquid) is stopped.
For example, the coating solution (pad) state in which the liquid film of the hydrogen peroxide sulfate aqueous solution is carried on the surface of the substrate can be achieved by supplying the 2 nd processing solution to the surface of the substrate on which the 1 st processing solution coating film is formed, while keeping the surface of the substrate horizontal upward, and then stopping the supply. By maintaining the coating solution state, the substrate processing using the hydrogen peroxide sulfate solution mixture can be performed without supplying the 1 st processing solution and the 2 nd processing solution. In such a liquid-coating treatment period, one or both of the 1 st treatment liquid and the 2 nd treatment liquid may be supplied to the surface of the substrate as necessary.
In one embodiment of the present invention, the 1 st processing liquid supply step and the 2 nd processing liquid supply step are alternately repeated. With this method, the treatment with the mixed solution of the sulfuric acid and the hydrogen peroxide solution can be performed on the substrate while alternately supplying the 1 st treatment solution and the 2 nd treatment solution as necessary. Therefore, the surface of the substrate can be sufficiently processed to avoid insufficient processing.
When the 1 st treatment liquid supply step is performed again after the 2 nd treatment liquid supply step, another step may be added therebetween. That is, the 1 st treatment liquid may be supplied after the 2 nd treatment liquid supply step and after the mixed liquid treatment step performed without supplying the 1 st treatment liquid and the 2 nd treatment liquid. Further, the 1 st treatment liquid supply step may be performed after the rinsing step.
One embodiment of the present invention is to provide a substrate processing apparatus for carrying out the substrate processing method as described above. The substrate processing apparatus includes: a substrate holding mechanism (substrate holder) for holding a substrate; a 1 st processing liquid nozzle for supplying the 1 st processing liquid to the substrate held by the substrate holding mechanism; a 2 nd processing liquid nozzle for supplying the 2 nd processing liquid to the substrate held by the substrate holding mechanism; a rinse solution nozzle configured to supply the rinse solution to the substrate held by the substrate holding mechanism; a control unit (controller). The control unit controls the supply of the 1 st processing liquid from the 1 st processing liquid nozzle to perform the 1 st processing liquid supply step, controls the supply of the 2 nd processing liquid from the 2 nd processing liquid nozzle to perform the 2 nd processing liquid supply step, and controls the supply of the rinse liquid from the rinse liquid nozzle to perform the rinse step.
With this configuration, the substrate processing method can be performed. Therefore, it is possible to provide a substrate processing apparatus capable of uniformly processing the surface of a substrate and reducing the consumption amount of a processing liquid.
In one embodiment of the present invention, the substrate holding mechanism includes a spin chuck (spin chuck) that horizontally holds and rotates a surface of the substrate upward. In this case, it is preferable that the control unit controls the supply of the 1 st processing liquid from the 1 st processing liquid nozzle and the rotation of the spin chuck in the 1 st processing liquid supply step to spin-coat the 1 st processing liquid on the surface of the substrate.
With this configuration, the 1 st processing liquid can be applied to the surface of the substrate by so-called spin coating. Thus, since a small amount of the 1 st processing liquid can be uniformly applied to the surface of the substrate, the surface of the substrate can be uniformly processed while reducing the consumption amount of the 1 st processing liquid.
In one embodiment of the present invention, the substrate processing apparatus further includes: a nozzle moving unit which moves the 1 st processing liquid nozzle between a processing position for supplying a processing liquid to the substrate held by the substrate holding mechanism and a standby position retracted from the processing position; and a cleaning tank (pot) for immersing the discharge port of the 1 st treatment liquid nozzle in a nozzle cleaning liquid at the standby position.
According to this configuration, when the 1 st treatment liquid nozzle is not used, the discharge port can be cleaned at the 1 st treatment liquid standby position. This can suppress or prevent clogging of the discharge port due to the 1 st treatment liquid having a high viscosity.
The above and other objects, features and effects of the present invention will become apparent from the following description of the embodiments with reference to the accompanying drawings.
Drawings
Fig. 1A to 1E are process diagrams for explaining a substrate processing method according to an embodiment of the present invention.
FIGS. 1F to 1H are process drawings for explaining the substrate processing method.
Fig. 2A to 2D are schematic cross-sectional views for explaining an example of the state of the substrate surface in a main process.
Fig. 3 is a schematic cross-sectional view for explaining a configuration example of a substrate processing apparatus for carrying out the substrate processing method as described above.
FIG. 4 is a view for explaining an example of the structure of the 1 st processing liquid supply source.
FIG. 5 is a view for explaining a configuration example of the 2 nd treatment liquid supply source.
FIG. 6 is a block diagram for explaining a configuration related to control of each part of the substrate processing apparatus.
FIG. 7 is a view for explaining another configuration example of the 1 st processing liquid supply source.
Fig. 8 is a process diagram for explaining a substrate processing method according to embodiment 2 of the present invention.
Detailed Description
Fig. 1A to 1H are process diagrams for explaining a substrate processing method according to an embodiment of the present invention. The substrate processing method includes a 1 st processing liquid applying step S1 (a 1 st processing liquid supplying step, fig. 1A to 1B), a 2 nd processing liquid supplying step S2 (fig. 1C), a mixed liquid processing step S3 (fig. 1C to 1D), a rinsing step S4 (fig. 1E), a residue removing step S5 (fig. 1F to 1G), and a drying step S6 (fig. 1H). The substrate W to be processed is a substrate having a resist film (not shown) formed on a front surface (upper surface in this embodiment). The substrate W may be a semiconductor substrate or a substrate for a liquid crystal display device. A typical resist film is a resist film used as a mask for dry etching. The substrate processing method of this embodiment is to perform resist stripping processing for stripping a resist film on the surface of the substrate W. More specifically, the resist is peeled off and removed from the surface of the substrate W by using a Hydrogen Peroxide sulfate aqueous Mixture (SPM).
A substrate W having a resist film formed on the surface thereof is carried into the processing chamber 1 (see fig. 3), and is held by the spin chuck 5. The substrate W is thereby held in a horizontal posture by the spin chuck 5, and in this state, is rotated around the vertical rotation axis a passing through the center portion. The substrate W is held by the spin chuck 5 in a posture in which the surface of the substrate W on which the resist film is formed faces upward.
The 1 st processing liquid application step S1 is a step of supplying the 1 st processing liquid L1 to the surface (upper surface) of the substrate W while rotating the substrate W by the spin chuck 5, and applying (so-called spin coating) the 1 st processing liquid L1 to the surface of the substrate W. In this embodiment, the 1 st processing liquid L1 contains one of sulfuric acid and hydrogen peroxide water as raw materials for SPM, but does not contain the other. In this embodiment, the 1 st treatment liquid L1 is a high-viscosity treatment liquid further containing a thickener (high-viscosity agent).
In the 1 st processing liquid application step S1, as shown in fig. 1A, only the 1 st processing liquid L1 is supplied from the 1 st processing liquid nozzle N1 to the vicinity of the center of the substrate W by a predetermined supply amount. After only the predetermined supply amount is supplied, the supply of the 1 st processing liquid L1 from the 1 st processing liquid nozzle N1 is stopped. The 1 st processing liquid L1 supplied to the front surface of the substrate W is diffused in the front surface to the peripheral edge of the substrate W by a centrifugal force accompanying the rotation of the substrate W. Thereby, as shown in fig. 1B, the coating film F1 of the 1 st processing liquid L1 covering the entire surface (upper surface) of the substrate W can be formed. The prescribed supply amount of the 1 st processing liquid L1 is determined as an amount sufficient to form the coating film F1 covering the entire area of the surface (upper surface) of the substrate W by the rotation of the substrate W. The predetermined supply amount is more preferably determined to be an amount necessary for forming the coating film F1 covering the entire region of the surface (upper surface) of the substrate W, whereby the consumption amount of the 1 st processing liquid L1 can be minimized.
The 2 nd treatment liquid supply step S2 is performed after the 1 st treatment liquid application step S1. That is, the process is started in a state where the coating film F1 of the 1 st processing liquid L1 is formed over the entire surface (upper surface) of the substrate W. In this embodiment, the 2 nd treatment liquid L2 contains the other one of the sulfuric acid and the hydrogen peroxide water as the raw material of the SPM, which is not contained in the 1 st treatment liquid L1, and does not contain the one contained in the 1 st treatment liquid L1. The 2 nd treatment liquid L2 is preferably a treatment liquid having a lower viscosity than the 1 st treatment liquid L1. The 2 nd treatment liquid L2 preferably does not contain a thickener, but may contain a trace amount of a thickener as necessary.
In the 2 nd processing liquid supply step S2, as shown in fig. 1C, while the substrate W is rotated around the rotation axis a by the spin chuck 5, the 2 nd processing liquid L2 is supplied from the 2 nd processing liquid nozzle N2 onto the coating film F1 of the 1 st processing liquid L1 formed over the entire region of the surface (upper surface) of the substrate W. The supply may be a continuous supply at a predetermined flow rate. As shown in fig. 1C, the 2 nd treatment liquid nozzle N2 may be a straight nozzle that discharges the 2 nd treatment liquid L2 in a columnar continuous flow. The 2 nd treatment liquid nozzle N2 may be a spray nozzle (spray nozzle) that sprays the 2 nd treatment liquid L2 so as to have a tapered contour. The 2 nd processing liquid nozzle N2 may perform stationary discharge in which the landing position on the substrate W is substantially maintained at a fixed position (for example, on the rotation axis a) in the 2 nd processing liquid supply step S2; in the 2 nd processing liquid supply step S2, the movable discharge for moving the landing position on the substrate W may be performed. In the case of the moving discharge, it is preferable that the applying position of the 2 nd processing liquid L2 discharged from the 2 nd processing liquid nozzle N2 is moved in a range from the rotation center to the peripheral edge portion on the surface of the substrate W, whereby the applying position scans the surface of the substrate W. The 2 nd treatment liquid supply step S2 is performed for a predetermined time.
The mixed solution treatment step S3 is a step of peeling off the resist film on the surface of the substrate W by using SPM which is a mixed solution obtained by mixing the 1 st treatment solution L1 and the 2 nd treatment solution L2 on the substrate W. Specifically, SPM is generated while sulfuric acid and hydrogen peroxide water are mixed on the substrate W to generate a heat-generating reaction, and this SPM corrodes the resist film on the surface of the substrate W. When the supply of the 2 nd processing liquid L2 is started, since the mixing of the 1 st processing liquid L1 and the 2 nd processing liquid L2 is started, at least a part of the period in the 2 nd processing liquid supply step S2 may overlap at least a part of the period in the mixed liquid processing step S3 (see fig. 1C).
After the 2 nd processing liquid supply step S2, that is, after the supply of the 2 nd processing liquid L2 is stopped, as shown in fig. 1D, a mixing reaction (SPM reaction) of the 1 st processing liquid L1 and the 2 nd processing liquid L2 is also performed on the surface of the substrate W, and the resist film is corroded by the SPM. After the supply of the 2 nd processing liquid L2 is stopped, the rotation of the substrate W may be stopped, and the substrate W may be rotated at a low speed to such an extent that the 1 st processing liquid L1, the 2 nd processing liquid L2, and the SPM mixed therewith can be held on the substrate W (see fig. 1D). Thereby, the coating film F1 of the 1 st treatment liquid L1 is in a coating liquid state in which the 2 nd treatment liquid L2 is carried. Therefore, the SPM mixed with these components is in a state of a coating liquid carried on the substrate W. By maintaining this coating liquid state, the resist etching treatment can be performed by the SPM without supplying the 1 st treatment liquid L1 and the 2 nd treatment liquid L2.
In the mixed liquid treatment step S3 after stopping the supply of the 2 nd treatment liquid L2, the 1 st treatment liquid L1 may be supplied from the 1 st treatment liquid nozzle N1 to the surface of the substrate W, or the 2 nd treatment liquid L2 may be supplied from the 2 nd treatment liquid nozzle N2 to the surface of the substrate W, as necessary. If necessary, only one of the 1 st treatment liquid L1 and the 2 nd treatment liquid L2 may be replenished, or both may be replenished. The supply of the 2 nd treatment liquid L2 may be continued for the entire period of the liquid mixture treatment step S3 without stopping the supply of the 2 nd treatment liquid L2.
The rinsing step S4 is performed after the resist film on the substrate W is sufficiently etched in the mixed liquid treatment step S3. Specifically, as shown in fig. 1E, while the substrate W is rotated by the spin chuck 5, a rinse solution R such as pure water (deionized water) or carbonated water is supplied from a rinse solution nozzle NR, and the resist film and SPM etched on the substrate W are rinsed off the surface of the substrate W. The substrate W is preferably rotated at a higher speed than in the mixed liquid treatment step S3, and a flow of the rinse liquid R from the rotation center to the peripheral edge is formed on the front surface of the substrate W by centrifugal force.
The 1 st treatment liquid application step S1, the 2 nd treatment liquid supply step S2, the mixed liquid treatment step S3, and the rinsing step S4 may be cyclically repeated a plurality of times. That is, after the rinsing step S4, the 1 st treatment liquid application step S1, the 2 nd treatment liquid supply step S2, the mixed liquid treatment step S3, and the rinsing step S4 may be performed again. In this case, the viscosity of the 1 st processing liquid supplied in the 1 st processing liquid application step S1 after the 2 nd time may be lower than the viscosity of the 1 st processing liquid used in the 1 st processing liquid application step S1 after the 1 st time.
The 1 st treatment liquid application step S1, the 2 nd treatment liquid supply step S2, and the mixed liquid treatment step S3 may be repeated cyclically a plurality of times. After the predetermined number of repetitions, the rinsing step S4 may be performed. In this case, the viscosity of the 1 st processing liquid supplied in the 1 st processing liquid application step S1 after the 2 nd time may be lower than the viscosity of the 1 st processing liquid used in the 1 st processing liquid application step S1 after the 1 st time.
The residue removal step S5 is a cleaning step for removing foreign matters not removed in the rinsing step S4. More specifically, the residue removing step S5 removes the product (treatment residue) and particles (particles) from the surface of the substrate W in the mixed solution treatment step S3. The residue removal step S5 includes a cleaning liquid supply step S51 shown in fig. 1F and a rinsing step S52 shown in fig. 1G. The residue removal step S5 can be omitted depending on the required processing content.
The cleaning liquid supply step S51 supplies the cleaning liquid C (more specifically, a cleaning chemical) from the chemical nozzle NC to the surface of the substrate W while rotating the substrate W by the spin chuck 5. The cleaning liquid supply step S51 may be an alkaline cleaning step of cleaning the substrate W with an ammonia-hydrogen peroxide water mixture (for example, SC 1) as the cleaning liquid C.
The rinsing step S52 is a step of replacing the cleaning liquid C on the surface of the substrate W with the rinsing liquid R and rinsing the cleaning liquid C off the surface of the substrate W after the cleaning liquid supplying step S51. In the rinse step S52, the rinse liquid R is supplied from the rinse liquid nozzle NR to the front surface of the substrate W while the substrate W is rotated by the spin chuck 5.
The drying step S6 is performed after the rinsing step S52 (see fig. 1G) of the residue removal step S5. When the residue removing step S5 is omitted, the rinsing step S4 (see fig. 1E) is performed thereafter. That is, the drying step S6 is performed after the supply of the rinse liquid R to the front surface of the substrate W is stopped. As shown in fig. 1H, the drying step S6 may be a spin drying step in which the substrate W is rotated at a high speed by the spin chuck 5 and the liquid on the substrate W is spun off by centrifugal force. The drying step S6 completes a series of substrate processing, and the processed substrate W is carried out from the processing chamber 1 (see fig. 3), that is, the substrate W from which the resist film has been peeled from the surface and whose surface has been cleaned and dried is carried out from the processing chamber 1 (see fig. 3).
Fig. 2A to 2D are schematic cross-sectional views for explaining examples of the state of the substrate surface in a main process. In this example, the 1 st treatment liquid L1 is a high-viscosity hydrogen peroxide solution, that is, a hydrogen peroxide solution containing a thickener. The 2 nd treatment liquid L2 is sulfuric acid. The 2 nd treatment liquid L2 is preferably sulfuric acid having a lower viscosity than the 1 st treatment liquid L1. For example, the 2 nd treatment liquid L2 is sulfuric acid containing no thickener. The viscosity of the first treatment liquid L1 at a temperature (e.g., room temperature) when supplied onto the surface of the substrate W is 30mPa · s or more, preferably 50mPa · s or more, more preferably 100mPa · s or more, and still more preferably 200mPa · s or more. The upper limit of the viscosity of the 1 st treatment liquid L1 may be set to a range that can be spin-coated on the surface of the substrate W, for example, 3000mPa · s.
Examples of the tackifier include polyvinylpyrrolidone, acrylic tackifier, and the like. The acrylic tackifier includes a polyacrylic tackifier such as polyacrylic acid, sodium polyacrylate, ammonium polyacrylate, crosslinked polyacrylic acid, and crosslinked sodium polyacrylate, and also includes a crosslinked acrylic polymer, a carboxylic acid copolymer (ammonium salt or sodium salt), and the like. One or more, i.e., one or more, of these tackifiers may be used. The adhesion promoter can be provided as a powder, an aqueous solution, or an emulsion.
In the 1 st processing liquid application step S1 (see fig. 2A), an application film F1 (for example, a gel-like film) of high-viscosity hydrogen peroxide water (the 1 st processing liquid L1) is formed on the surface of the substrate W. The coating film F1 adheres to the entire surface of the substrate W.
In the 2 nd processing liquid supply step S2 (see fig. 2B), sulfuric acid (the 2 nd processing liquid L2) having a lower viscosity than the coating film of high-viscosity hydrogen peroxide water (the coating film F1 of the 1 st processing liquid L1) is supplied, for example, to form a liquid layer of sulfuric acid. Then, the mixing of the high-viscosity hydrogen peroxide water (the 1 st treatment liquid L1) and the sulfuric acid (the 2 nd treatment liquid L2) is started.
In the mixed liquid treatment step S3 (see fig. 2C), SPM is generated by mixing high-viscosity hydrogen peroxide water with sulfuric acid. The SPM reaches the surface of the substrate W, thereby etching the resist film formed on the surface of the substrate W. Since the hydrogen peroxide water is present on the surface of the substrate W in a highly viscous state, the reaction is performed in a state in which the outflow of the SPM from the substrate W is suppressed or prevented. Thus, the resist film on the surface of the substrate W can be etched by supplying a small amount of high-viscosity hydrogen peroxide water and a small amount of sulfuric acid. In the case where the hydrogen peroxide water and/or sulfuric acid is insufficient, they may be supplemented as described above. Since the coating film F1 of high-viscosity hydrogen peroxide water adheres to the entire area of the substrate W, the substrate W can be uniformly processed over the entire area thereof, and as a result, a substrate process (resist stripping process) with good uniformity can be realized.
When the 1 st treatment liquid L1 and the 2 nd treatment liquid L2 are mixed on the substrate W to generate the SPM, the viscosity of the SPM contained in the 1 st treatment liquid L1 is preferably 30mPa · s or more, preferably 50mPa · s or more, more preferably 100mPa · s or more, and still more preferably 200mPa · s or more. Thus, since the SPM is easily kept in a liquid-coated state on the substrate W, the consumption amounts of the 1 st and 2 nd processing liquids L1 and L2 can be efficiently reduced, and uniform substrate processing can be easily performed.
After the liquid mixture treatment step S3, the SPM on the substrate W is replaced with the rinse liquid R in the rinse step S4 (see fig. 2D), and is removed from the surface of the substrate W together with the etched resist film.
In the case where sulfuric acid having a high viscosity, that is, sulfuric acid to which a thickener is added is used for the 1 st treatment liquid L1 and hydrogen peroxide water (preferably, hydrogen peroxide water having a viscosity lower than that of the 1 st treatment liquid L1) is used for the 2 nd treatment liquid L2, the "hydrogen peroxide water" and the "sulfuric acid" in the above description may be exchanged. In this case, substrate processing (resist stripping processing) with good uniformity can be achieved.
Fig. 3 is a schematic cross-sectional view for explaining a configuration example of a substrate processing apparatus 100 (processing unit) for performing the substrate processing method as described above. The substrate processing apparatus 100 includes a spin chuck 5 as an example of a substrate holding mechanism (substrate holder), a 1 st processing liquid nozzle N1, a 2 nd processing liquid nozzle N2, a chemical liquid nozzle NC, a rinse liquid nozzle NR, and a standby tank 3, and they are housed in the processing chamber 1. The substrate processing apparatus 100 further includes a 1 st processing liquid supply source 15 and a 2 nd processing liquid supply source 25 disposed outside the processing chamber 1. The substrate processing apparatus 100 further includes a chemical liquid supply source 35 disposed outside the processing chamber 1.
The spin chuck 5 is a substrate holding and rotating device of: in the processing chamber 1, 1 substrate W is held in a horizontal posture, and the substrate W is rotated around a vertical rotation axis a passing through the center of the substrate W. The spin chuck 5 includes a rotation shaft 51 extending along the rotation axis a, a spin base 52 coupled to an upper end of the rotation shaft 51, and a spin motor 53 for rotating the rotation shaft 51. The spin base 52 has a disk shape held in a horizontal posture at the upper end of the rotation shaft 51. A plurality of clamp pins 54 are arranged at intervals in the circumferential direction on the peripheral edge of the spin base 52. The plurality of clamp pins 54 are configured to contact the peripheral end surface of the substrate W and clamp the substrate W. Instead of such a mechanical chuck, a vacuum chuck may be used which holds the center of the lower surface of the substrate W by suction.
The 1 st treatment liquid nozzle N1 is the following nozzle: the 1 st processing liquid L1 is supplied to the surface (upper surface) of the substrate W held by the spin chuck 5. The 1 st processing liquid nozzle N1 is in the form of a moving nozzle that moves between a processing position (position shown by a solid line) at which the 1 st processing liquid L1 is discharged onto the surface of the substrate W held by the spin chuck 5 and a standby position (position shown by a two-dot chain line) set at the side surface of the spin chuck 5. More specifically, the 1 st treatment liquid nozzle N1 is moved by the 1 st nozzle moving unit 11. The 1 st nozzle moving unit 11 includes, for example, a 1 st swing arm 12 extending horizontally, and the 1 st treatment liquid nozzle N1 is coupled to a swing end of the 1 st swing arm 12. Although not shown in detail, the 1 st nozzle moving unit 11 further includes a swing drive mechanism coupled to a base end portion of the 1 st swing arm 12, and the swing drive mechanism swings the 1 st swing arm 12 about a vertical swing axis passing through the base end portion of the 1 st swing arm 12. Thereby, the 1 st treatment liquid nozzle N1 moves between the treatment position and the standby position. As described above, the 1 st treatment liquid L1 is a high-viscosity treatment liquid. The processing position may be a position where the 1 st processing liquid L1 is deposited on the rotation center of the substrate W. The 1 st processing liquid L1 applied to the rotation center of the substrate W is spread over the entire surface of the substrate W by a centrifugal force generated by the rotation of the substrate W.
The 2 nd processing liquid nozzle N2 is a nozzle for supplying the 2 nd processing liquid L2 to the surface (upper surface) of the substrate W held by the spin chuck 5. The 2 nd processing liquid nozzle N2 is in the form of a moving nozzle that moves between a processing position at which the 2 nd processing liquid L2 is discharged onto the surface of the substrate W held by the spin chuck 5 and a standby position set at the side surface of the spin chuck 5. More specifically, the 2 nd processing liquid nozzle N2 is moved by the 2 nd nozzle moving unit 21. The 2 nd nozzle transfer unit 21 has, for example, the same configuration as the 1 st nozzle transfer unit 11. That is, the 2 nd nozzle transfer unit 21 includes, for example, a 2 nd swing arm 22 extending horizontally, and the 2 nd treatment liquid nozzle N2 is coupled to a swing end of the 2 nd swing arm 22. The 2 nd nozzle moving unit 21 includes a swing drive mechanism for swinging the 2 nd swing arm 22, similarly to the 1 st nozzle moving unit 11. The 2 nd processing liquid nozzle N2 may be operated as a scanning nozzle for scanning the landing position on the substrate W while discharging the 2 nd processing liquid L2. In this case, the processing position varies between the rotation center and the peripheral edge of the substrate W.
The chemical solution nozzle NC is a nozzle for supplying a cleaning solution C (cleaning chemical solution) to the surface (upper surface) of the substrate W held by the spin chuck 5. The chemical solution nozzle NC is in the form of a moving nozzle that moves between a processing position for discharging the cleaning solution C onto the surface of the substrate W held by the spin chuck 5 and a standby position set on the side surface of the spin chuck 5. More specifically, the chemical nozzle NC is moved by the 3 rd nozzle moving unit 31. The 3 rd nozzle transfer unit 31 has, for example, the same configuration as the 1 st nozzle transfer unit 11. That is, the 3 rd nozzle moving unit 31 includes, for example, a 3 rd swing arm 32 extending horizontally, and the chemical solution nozzle NC is coupled to a swing end of the 3 rd swing arm 32. The 3 rd nozzle transfer unit 31 includes a swing drive mechanism for swinging the 3 rd swing arm 32, similarly to the 1 st nozzle transfer unit 11. As described above, the cleaning liquid C is, for example, an aqueous ammonia-hydrogen peroxide solution (for example, SC 1). The chemical solution nozzle NC may be operated as a scanning nozzle that scans a landing position on the substrate W while discharging the cleaning solution C. That is, the processing position may be moved between the rotation center and the periphery of the substrate W.
The rinse solution nozzle NR is a nozzle for supplying the rinse solution R to the front surface (upper surface) of the substrate W held by the spin chuck 5. In this embodiment, the rinse solution nozzle NR has a fixed nozzle shape with a fixed position. Of course, the rinse liquid nozzle NR may be in the form of a movable nozzle that moves between a processing position for discharging the rinse liquid R onto the surface of the substrate W held by the spin chuck 5 and a standby position set on the side surface of the spin chuck 5. In this embodiment, the rinse solution nozzle NR is fixed so as to discharge the rinse solution R toward the rotation center of the substrate W. Typically, the rinse R is pure water (deionized water).
The standby tank 3 is disposed at a standby position (shown by a two-dot chain line in fig. 3) of the 1 st treatment liquid nozzle N1, and is an example of a cleaning tank for cleaning the discharge port 10 of the 1 st treatment liquid nozzle N1. The standby tank 3 may be in the form of a container for storing a nozzle cleaning liquid for cleaning the discharge port 10 of the 1 st treatment liquid nozzle N1. At the standby position, the discharge port 10 of the 1 st treatment liquid nozzle N1 is immersed in the nozzle cleaning liquid in the standby tank 3. This can suppress solidification of the 1 st treatment liquid L1 having a high viscosity, thereby preventing clogging of the discharge port 10 of the 1 st treatment liquid nozzle N1.
The 1 st processing liquid nozzle N1 is connected to a 1 st processing liquid supply source 15 via a 1 st processing liquid pipe 13. A 1 st processing liquid valve 14 is interposed in the 1 st processing liquid pipe 13. The 1 st treatment liquid valve 14 opens and closes a flow path of the 1 st treatment liquid pipe 13.
The 2 nd processing liquid nozzle N2 is connected to a 2 nd processing liquid supply source 25 via a 2 nd processing liquid pipe 23. A 2 nd processing liquid valve 24 is interposed in the 2 nd processing liquid pipe 23. The 2 nd treatment liquid valve 24 opens and closes a flow path of the 2 nd treatment liquid pipe 23.
The chemical nozzle NC is connected to a chemical supply source 35 via a chemical pipe 33. A chemical liquid valve 34 is interposed in the chemical liquid pipe 33. The chemical liquid valve 34 opens and closes a flow path of the chemical liquid pipe 33. Although not shown, the chemical supply source 35 includes a chemical tank (tank) for storing a cleaning chemical (for example, an ammonia-hydrogen peroxide solution), and a chemical pump for sending the chemical from the chemical tank to the chemical nozzle NC to the chemical pipe 33. The liquid medicine tank is stored with cleaning liquid. More specifically, a cleaning chemical solution for cleaning containing ammonia water and hydrogen peroxide water is mixed at a predetermined ratio to prepare a cleaning solution, and the cleaning solution is stored in a chemical solution tank. As necessary, a heater for heating the chemical liquid to an appropriate temperature may be disposed in the chemical liquid tank or the chemical liquid pipe 33.
The rinse solution nozzle NR is connected to a rinse solution supply source 45 through a rinse solution pipe 43. A rinse liquid valve 44 is interposed in the rinse liquid pipe 43. The rinse liquid valve 44 opens and closes a flow path of the rinse liquid pipe 43. The rinse liquid supply source 45 may be an in-plant utility (utility) that supplies a rinse liquid such as deionized water.
FIG. 4 is a view for explaining an example of the structure of the first processing liquid supply source 15. The 1 st processing liquid supply source 15 includes: a 1 st treatment liquid tank 16 for storing the 1 st treatment liquid L1; and a 1 st treatment liquid pump 17 for sending the 1 st treatment liquid L1 from the 1 st treatment liquid tank 16 toward the 1 st treatment liquid nozzle N1 and to the 1 st treatment liquid pipe 13. The 1 st processing liquid pipe 13 may include a 1 st filter 18 for removing foreign matters in the 1 st processing liquid L1. The 1 st treatment liquid tank 16 stores a previously prepared 1 st treatment liquid L1 having a high viscosity. The 1 st treatment liquid L1 having a high viscosity is prepared by mixing one of sulfuric acid and hydrogen peroxide water with a thickener. When the 1 st treatment liquid L1 contains sulfuric acid, the 1 st treatment liquid heater 19 is preferably disposed in the 1 st treatment liquid tank 16 or the 1 st treatment liquid pipe 13. This allows the 1 st treatment liquid L1 to be heated to a temperature higher than room temperature (e.g., about 120 to 130 ℃). When the 1 st treatment liquid L1 contains hydrogen peroxide water, the 1 st treatment liquid heater 19 is not required, and the 1 st treatment liquid L1 at room temperature (generally, an ambient temperature is about 0 to 30 ℃.
FIG. 5 is a view for explaining a configuration example of the 2 nd treatment liquid supply source 25. The 2 nd treatment liquid supply source 25 includes: a 2 nd treatment liquid tank 26 for storing the 2 nd treatment liquid L2; and a 2 nd treatment liquid pump 27 for sending the 2 nd treatment liquid L2 from the 2 nd treatment liquid tank 26 toward the 2 nd treatment liquid nozzle N2 and to the 2 nd treatment liquid pipe 23. The 2 nd processing liquid pipe 23 may include a 2 nd filter 28 for removing foreign matters in the 2 nd processing liquid L2. The 2 nd treatment liquid tank 26 stores a 2 nd treatment liquid L2 (preferably, a 2 nd treatment liquid L2 having a lower viscosity than the 1 st treatment liquid L1). In this embodiment, the 2 nd treatment liquid L2 contains the other of sulfuric acid and hydrogen peroxide water and does not contain a thickener. When the 2 nd treatment liquid L2 contains sulfuric acid, the 2 nd treatment liquid heater 29 is disposed in the 2 nd treatment liquid tank 26 or the 2 nd treatment liquid pipe 23. This allows the 2 nd treatment liquid L2 to be heated to a temperature higher than room temperature (for example, about 120 to 130 ℃). When the 2 nd treatment liquid L2 contains hydrogen peroxide water, the 2 nd treatment liquid heater 29 is not required, and the 2 nd treatment liquid L2 at room temperature (an ambient temperature is generally 0 to 30 ℃.
Fig. 6 is a block diagram for explaining a configuration related to control of each part of the substrate processing apparatus 100. The substrate processing apparatus 100 includes a controller 2 as a control unit for controlling respective portions thereof. The controller 2 includes a processor (CPU) 2a and a memory 2b. The processor 2a executes the program stored in the memory 2b, thereby realizing various functions of the controller 2. In other words, the controller 2 is configured (programmed) to realize various functions. The controller 2 controls the 1 st treatment solution valve 14, the 2 nd treatment solution valve 24, the chemical solution valve 34, and the rinse solution valve 44 to open and close. The controller 2 controls the rotation of the spin chuck 5, the operation of the 1 st nozzle moving unit 11, the 2 nd nozzle moving unit 21, and the 3 rd nozzle moving unit 31, and the like. The controller 2 controls the 1 st processing liquid supply source 15, the 2 nd processing liquid supply source 25, and the chemical liquid supply source 35.
Thus, the controller 2 controls the supply and stop of the 1 st treatment liquid L1, the 2 nd treatment liquid L2, the cleaning liquid C, and the rinse liquid R. Further, the controller 2 controls the rotation (rotation/stop, rotation speed, and the like) of the substrate W. The controller 2 controls the positions of the 1 st treatment liquid nozzle N1, the 2 nd treatment liquid nozzle N2, and the chemical liquid nozzle NC. The controller 2 executes the first treatment liquid application step S1, the second treatment liquid supply step S2, the mixed liquid treatment step S3, the rinsing step S4, the residue removal step S5, and the drying step S6 by such control.
When an unprocessed substrate W is transferred to the spin chuck 5 by a substrate transfer robot (robot), not shown, the controller 2 performs a first process liquid application step S1 (see fig. 1A and 1B). That is, the controller 2 disposes the 1 st processing liquid nozzle N1 at the processing position while rotating the spin chuck 5 at the 1 st processing liquid application speed (for example, 500rpm to 1500 rpm), opens the 1 st processing liquid valve 14, and discharges a predetermined amount of the 1 st processing liquid L1 from the 1 st processing liquid nozzle N1 toward the rotation center of the front surface (upper surface) of the substrate W. The 1 st processing liquid L1 in contact with the surface of the substrate W is spread to the peripheral edge of the substrate W by centrifugal force, and thereby spread over the entire surface of the substrate W. Thereby, the coating film F1 of the 1 st processing liquid L1 covering the entire surface of the substrate W is formed.
After the 1 st processing liquid applying step S1, the controller 2 executes a 2 nd processing liquid supplying step S2 (see fig. 1C). That is, the controller 2 moves the 1 st treatment liquid nozzle N1 to the standby position (indicated by a two-dot chain line in fig. 3), and instead moves the 2 nd treatment liquid nozzle N2 to the treatment position. The discharge port 10 of the 1 st treatment liquid nozzle N1 is immersed in the nozzle cleaning liquid in the standby tank 3 at the standby position, and the discharge port 10 is cleaned. The controller 2 rotates the spin chuck 5 at the processing speed of the 2 nd processing liquid (for example, 300rpm to 800 rpm). The treatment speed of the 2 nd treatment liquid is preferably equal to or lower than the application speed of the 1 st treatment liquid. The controller 2 further arranges the 2 nd processing liquid nozzle N2 such that the 2 nd processing liquid L2 is deposited on the rotation center of the surface of the substrate W, for example.
The controller 2 may perform the 2 nd processing liquid supply step S2 by stopping the 2 nd processing liquid nozzle N2 in a state where the 2 nd processing liquid L2 is deposited on the rotation center of the surface of the substrate W. The controller 2 may perform the 2 nd processing liquid supply step S2 while moving the 2 nd processing liquid nozzle N2 so that the landing position of the 2 nd processing liquid L2 is scanned between the rotation center and the peripheral edge of the surface of the substrate W. When the 2 nd treatment liquid nozzle N2 is a spray nozzle, it may be appropriate to perform the 2 nd treatment liquid supply step S2 while keeping the liquid applying position still. In the case where the 2 nd treatment liquid nozzle N2 is a straight nozzle, it may be appropriate to perform the 2 nd treatment liquid supply step S2 by scanning the liquid applying position.
After the 2 nd processing liquid supply step S2, the controller 2 stops the supply of the 2 nd processing liquid L2 from the 2 nd processing liquid nozzle N2 and moves the 2 nd processing liquid nozzle N2 to the standby position. The controller 2 sets the rotation speed of the spin chuck 5 to the mixed liquid processing speed (for example, 0rpm to 50 rpm). The mixed liquid treatment rate is preferably lower than the 2 nd treatment liquid supply rate, and may be zero (that is, the rotation is stopped). When the 2 nd treatment liquid L2 is supplied onto the coating film F1 of the 1 st treatment liquid L1, they can be mixed to generate the SPM. Therefore, the processing step by the SPM, i.e., the mixed liquid processing step S3 (see fig. 1C and 1D), is started immediately after the supply of the 2 nd processing liquid L2. Since the high-viscosity mixing of the 1 st processing liquid L1 and the 2 nd processing liquid L2 continues on the surface of the substrate W even after the supply of the 2 nd processing liquid L2 is stopped, the mixed liquid processing step S3 continues even after the supply of the 2 nd processing liquid L2 is stopped.
After the supply of the 2 nd processing liquid L2 is stopped, the controller 2 executes the flushing step S4 (see fig. 1E) when a predetermined reaction time elapses. That is, the controller 2 moves the rinse solution nozzle NR to the treatment position. Then, the controller 2 rotates the spin chuck 5 at a predetermined rinsing speed (for example, 300rpm to 1000 rpm). In this state, the controller 2 opens the rinse liquid valve 44 to discharge the rinse liquid R from the rinse liquid nozzle NR to the substrate W. In this embodiment, the landing position of the rinse liquid R at the surface of the substrate W is fixed to the center of the substrate W. However, the rinse liquid nozzle NR in the form of a movable nozzle may be used to move (scan) the landing position of the rinse liquid R between the center and the periphery of the substrate W.
After the lapse of the predetermined rinsing processing time, the controller 2 stops the discharge of the rinsing liquid R from the rinsing liquid nozzle NR, thereby ending the rinsing step S4 and moving the rinsing liquid nozzle NR to the standby position.
Then, the controller 2 executes a residue removal step S5 (see fig. 1F and 1G). That is, the controller 2 moves the chemical solution nozzle NC to the processing position. Then, the controller 2 rotates the spin chuck 5 at a predetermined cleaning liquid processing speed (for example, 500rpm to 1500 rpm). In this state, the controller 2 opens the chemical liquid valve 34 to discharge the cleaning liquid C (e.g., an ammonia-hydrogen peroxide solution) onto the substrate W, thereby performing the cleaning liquid supply step S51 (see fig. 1F). The landing position of the cleaning liquid C at the surface of the substrate W may be fixed to the center of the substrate W or may be moved (scanned) between the center and the periphery of the substrate W. After the cleaning liquid C is supplied for a predetermined time, the controller 2 stops the discharge of the cleaning liquid C from the chemical liquid nozzle NC and moves the chemical liquid nozzle NC to the standby position.
Then, the controller 2 executes a rinsing step S52 (see fig. 1G) after the cleaning liquid treatment. The rinsing step S52 may be substantially the same as the rinsing step S4 (see fig. 1E) performed immediately after the mixed liquid treatment step S3.
After the predetermined rinsing processing time has elapsed, the controller 2 stops the discharge of the rinsing liquid R from the rinsing liquid nozzle NR, and ends the rinsing step S52. Then, the controller 2 performs a drying process S6 (spin drying): the spin chuck 5 is accelerated to a dry rotation speed (for example, 2500rpm to 4000 rpm), and the rinse liquid R on the substrate W is spun off (see fig. 1H). After the drying step S6 is performed for a predetermined time, the controller 2 stops the rotation of the spin chuck 5, and the process is ended.
The processed substrate W is received from the spin chuck 5 by a substrate transfer robot (not shown) and carried out from the processing chamber 1.
FIG. 7 is a view for explaining another configuration example of the first processing liquid supply source 15.
In the configuration example of the 1 st processing liquid supply source 15 shown in fig. 4, the adjusted 1 st processing liquid L1 can be supplied to the 1 st processing liquid tank 16, or the 1 st processing liquid L1 can be prepared in the 1 st processing liquid tank 16. Specifically, the 1 st treatment liquid L1 prepared by mixing one of sulfuric acid and hydrogen peroxide water with a thickener is supplied to the 1 st treatment liquid tank 16, or such preparation is performed in the 1 st treatment liquid tank 16.
In contrast, in the configuration example of the 1 st treatment liquid supply source 15 shown in fig. 7, one of sulfuric acid and hydrogen peroxide water and a thickener are mixed in the middle of the 1 st treatment liquid pipe 13.
Specifically, the 1 st treatment liquid component pipe 131 for supplying the 1 st treatment liquid component L1a, which is one of sulfuric acid and hydrogen peroxide water, is connected to the 1 st treatment liquid pipe 13 via the tackifier pipe 132 for supplying a liquid tackifier. Therefore, the 1 st treatment liquid component L1a and the tackifier are merged and mixed in the 1 st treatment liquid pipe 13. To facilitate the mixing, a line mixer 133 is interposed in the 1 st treatment liquid pipe 13.
The line mixer 133 has, for example, an agitation element, and agitates the fluid flowing through the 1 st processing liquid pipe 13, thereby sufficiently mixing the 1 st processing liquid component L1a and the thickener to assist the generation of the 1 st processing liquid L1 having a high viscosity. Not only such an agitation type line mixer, but also a dispersion mixing type line mixer may be used, which performs dispersion mixing by dispersedly discharging a fluid from a mixing nozzle to a fluid flowing through a main channel when the 1 st processing liquid component L1a and the tackifier are merged.
The 1 st processing liquid supply source 15 of this configuration example is particularly suitable when the thickener is a liquid or an emulsion. When the thickener is a solid (powder or the like), the 1 st processing liquid supply source 15 having the configuration shown in fig. 4 is more preferable.
As described above, according to this embodiment, the 1 st processing liquid L1 added with the tackifier and having a high viscosity is applied to the entire surface (upper surface) of the substrate W. This makes it possible to uniformly coat the entire surface of the substrate W with the 1 st processing liquid L1 and to achieve close adhesion while suppressing the consumption of the 1 st processing liquid L1. The SPM is generated by mixing the 1 st processing liquid L1 and the 2 nd processing liquid L2 on the substrate W by supplying the 2 nd processing liquid L2 to the surface of the substrate W on which the 1 st processing liquid L1 is applied. Since the 1 st processing liquid L1 is uniformly and uniformly applied over the entire surface of the substrate W and is in close contact therewith, the SPM can be uniformly applied over the entire surface of the substrate W. Therefore, the processing by SPM (processing for etching the resist) can be performed uniformly over the entire surface of the substrate W. Further, since the 1 st processing liquid L1 and the 2 nd processing liquid L2 are mixed with the substrate W, the reaction heat at the time of mixing can be utilized, and accordingly, efficient processing can be performed. The processing by the SPM can be stopped by supplying the rinse liquid R to the surface of the substrate W to replace the SPM.
If the 2 nd treatment liquid L2 has a relatively low viscosity (for example, a viscosity lower than that of the 1 st treatment liquid L1), the 1 st treatment liquid L1 and the 2 nd treatment liquid L2 are mixed quickly, and thus the SPM, which is a mixed liquid thereof, can be generated quickly. This enables more efficient processing.
Since the viscosity of the 1 st processing liquid L1 can be appropriately adjusted according to the thickener, an appropriate viscosity can be set in consideration of ductility at the time of coating on the surface of the substrate W, retentivity of the coating film F1 on the surface of the substrate W, degree of mixing with the 2 nd processing liquid L2, and the like. This can further reduce the consumption of the 1 st processing liquid L1 and perform uniform processing on the substrate W.
In particular, it is preferable to use a liquid containing hydrogen peroxide and a thickener as the 1 st treatment liquid L1 and a liquid containing sulfuric acid as the 2 nd treatment liquid L2 because the consumption amount of hydrogen peroxide can be reduced.
In the 1 st processing liquid application step S1, if the coating film F1 (for example, a gel-like coating film) is formed on the surface of the substrate W, the 1 st processing liquid L1 can be reliably brought into close contact with the entire surface of the substrate W. Accordingly, the SPM generated by the supply of the 2 nd processing liquid L2 can be reliably brought into close contact with the entire surface of the substrate W, and thus efficient and uniform processing can be performed.
The 2 nd processing liquid supply step S2 is preferably performed in a state where the supply of the 1 st processing liquid L1 is stopped (supply of a new liquid). This can reliably reduce the consumption of the 1 st treatment liquid L1. Further, since the 2 nd processing liquid L2 can be supplied onto the coating film F1 of the 1 st processing liquid L1, the 1 st processing liquid L1 can be prevented from being carried out of the substrate W by the flow of the 2 nd processing liquid L2.
It is preferable to stop the supply of the 2 nd treatment liquid L2 (supply of a new liquid) during at least a part of the mixed liquid treatment step S3. This can further suppress the consumption of the 2 nd treatment liquid L2. That is, by performing the coating treatment in which the liquid film of the 2 nd treatment liquid L2 is carried on the coating film F1 of the 1 st treatment liquid L1 and mixed with each other, the substrate treatment using the SPM as the mixed liquid thereof can be performed. At this time, since the 1 st and 2 nd treatment liquids L1 and L2 are not supplied, the consumption amount of any treatment liquid can be reduced.
Fig. 8 is a process diagram for explaining a substrate processing method according to embodiment 2 of the present invention. In this embodiment, the pretreatment step S0 is performed before the 1 st treatment liquid application step S1. The subsequent steps S1 to S6 are the same as those in embodiment 1 (see fig. 1A to 1H).
The pretreatment step S0 is a step of supplying the 2 nd treatment liquid (preferably, the 2 nd treatment liquid L2 having a lower viscosity than the 1 st treatment liquid L1) to the surface of the substrate W before applying the 1 st treatment liquid L1 having a high viscosity to the surface of the substrate W. In the pretreatment step S0, the substrate processing apparatus 100 can perform substantially the same operation as in the 2 nd treatment liquid supply step S2.
In the pretreatment step S0, since the coating film F1 of the 1 st processing liquid L1 is not present on the substrate W, the 2 nd processing liquid L2 is supplied to the surface of the substrate W, not the coating film F1 of the 1 st processing liquid L1. The controller 2 rotates the spin chuck 5 at the 2 nd processing liquid processing speed, and in this state, discharges the 2 nd processing liquid L2 from the 2 nd processing liquid nozzle N2 toward the front surface of the substrate W.
After the pretreatment step S0, the 1 st treatment liquid application step S1 is performed by moving the 2 nd treatment liquid nozzle N2 to the standby position. Since the 1 st processing liquid L1 supplied to the surface of the substrate W is in contact with the 2 nd processing liquid L2 already present on the substrate W, mixing (SPM reaction) of the 1 st processing liquid L1 and the 2 nd processing liquid L2 occurs. Therefore, the mixed liquid processing step S3 can be started on the surface of the substrate W immediately after the supply of the 1 st processing liquid L1.
By performing the pretreatment step S0 before the 1 st treatment liquid application step S1, the 1 st treatment liquid L1 having a high viscosity can be easily spread over the entire surface of the substrate W, and the treatment with the mixed liquid at the surface of the substrate W can be facilitated, so that the resist stripping treatment can be performed more efficiently.
Although 2 embodiments of the present invention have been described above, the present invention can be implemented in other embodiments. For example, in the above embodiment, the treatment in which hydrogen peroxide water at room temperature and sulfuric acid at a temperature higher than that of hydrogen peroxide water are supplied to the surface of the substrate W has been mainly described. However, hydrogen peroxide water at room temperature and sulfuric acid at room temperature may be supplied to the surface of the substrate W. In this case, too, a high-temperature SPM can be generated on the substrate W by a heat generation reaction when the hydrogen peroxide water and the sulfuric acid are mixed, and the resist on the surface of the substrate W can be corroded. In order to promote the reaction on the substrate W, the substrate W may be heated by a heater. The heater may be incorporated in the spin chuck 5, or another heat source such as a halogen heater may be used.
In addition, although the single-wafer substrate processing in which the substrates W are held and processed one by one has been described in the foregoing embodiment, the present invention can also be applied to batch-type substrate processing in which a plurality of substrates are processed together.
The embodiments of the present invention have been described in detail, but these are merely specific examples used for clarifying technical content of the present invention, and the present invention should not be construed as being limited to these specific examples, and the scope of the present invention is defined only by the appended claims.
Description of the reference numerals
S1 st Process for applying treating solution
S2 Process for supplying treating solution 2
S3 Process for treating Mixed solution
S4 rinsing step
S5 residue removal step
S6 drying step
W substrate
L1 No. 1 treatment liquid
L2 treatment liquid 2
R washing liquid
C cleaning solution
F1 1 st treatment liquid coating film
N1 st treatment solution nozzle
N2 nd treatment liquid nozzle
NR flushing fluid nozzle
NC liquid medicine nozzle
100. Substrate processing apparatus
1. Processing chamber
2. Controller
3. Standby tank
5. Rotary chuck
10. Discharge port
14. No. 1 treatment liquid valve
15. 1 st processing liquid supply source
24. No. 2 treatment liquid valve
25. No. 2 treatment liquid supply source
44. Flushing liquid valve

Claims (15)

1. A method of substrate processing, comprising:
a 1 st treatment liquid supply step of applying a 1 st treatment liquid containing one of sulfuric acid and hydrogen peroxide water to a surface of a substrate;
a 2 nd treatment liquid supply step of supplying a second treatment liquid containing the other of sulfuric acid and hydrogen peroxide water and having a lower viscosity than the first treatment liquid to the surface of the substrate coated with the 1 st treatment liquid;
a mixed liquid treatment step of treating the surface of the substrate with a mixed liquid of hydrogen peroxide sulfate produced by mixing the 1 st treatment liquid and the 2 nd treatment liquid on the surface of the substrate; and
and a rinsing step of supplying a rinsing liquid to the substrate after the liquid mixture treatment step, thereby rinsing the aqueous hydrogen sulfate peroxide liquid mixture from the surface of the substrate.
2. The substrate processing method of claim 1, wherein the 1 st processing liquid comprises a tackifier.
3. A method of substrate processing, comprising:
a 1 st treatment liquid supply step of applying a 1 st treatment liquid containing a tackifier to a surface of a substrate;
a 2 nd treatment liquid supply step of supplying a 2 nd treatment liquid to the surface of the substrate coated with the 1 st treatment liquid;
a mixed liquid treatment step of treating the surface of the substrate with a mixed solution of hydrogen peroxide sulfate, which is generated by mixing the 1 st treatment liquid and the 2 nd treatment liquid on the surface of the substrate; and
and a rinsing step of supplying a rinsing liquid to the substrate after the liquid mixture treatment step, thereby rinsing the liquid mixture of hydrogen peroxide sulfate and water from the surface of the substrate.
4. A method of substrate processing, comprising:
a 1 st processing liquid supply step of applying a 1 st processing liquid containing hydrogen peroxide water and a tackifier to a surface of a substrate;
a 2 nd treatment liquid supply step of supplying a 2 nd treatment liquid containing sulfuric acid to the surface of the substrate coated with the 1 st treatment liquid;
a mixed liquid treatment step of treating the surface of the substrate with a mixed solution of hydrogen peroxide sulfate, which is generated by mixing the 1 st treatment liquid and the 2 nd treatment liquid on the surface of the substrate; and
and a rinsing step of supplying a rinsing liquid to the substrate after the liquid mixture treatment step, thereby rinsing the liquid mixture of hydrogen peroxide sulfate and water from the surface of the substrate.
5. The substrate processing method according to claim 2, 3 or 4, wherein the tackifier comprises at least one selected from the group consisting of polyvinylpyrrolidone, polyacrylic acid, sodium polyacrylate, ammonium polyacrylate, crosslinked polyacrylic acid, crosslinked sodium polyacrylate, crosslinked acrylic polymer, and carboxylic acid-based copolymer.
6. The substrate processing method according to any of claims 1 to 5, wherein the 2 nd processing liquid does not contain a tackifier.
7. The substrate processing method according to any one of claims 1 to 6, wherein in the 1 st processing liquid supply step, the 1 st processing liquid is applied to the surface of the substrate to form a coating film of the 1 st processing liquid covering the entire area of the surface of the substrate.
8. The substrate processing method according to any one of claims 1 to 7, wherein in the 2 nd processing liquid supply step, the 2 nd processing liquid is supplied to the surface of the coating film of the 1 st processing liquid.
9. The substrate processing method according to any one of claims 1 to 8, wherein the 2 nd processing liquid supply step is started in a state where supply of the 1 st processing liquid to the surface of the substrate is stopped.
10. The substrate processing method according to any of claims 1 to 9, wherein at least a part of a period in the mixed liquid processing step overlaps with at least a part of a period in the 2 nd processing liquid supplying step.
11. The substrate processing method according to any one of claims 1 to 10, wherein the supply of the 2 nd processing liquid to the surface of the substrate is stopped during at least a part of the period in the mixed liquid processing step.
12. The substrate processing method according to any one of claims 1 to 11, wherein the 1 st processing liquid supply step and the 2 nd processing liquid supply step are alternately and repeatedly performed.
13. A substrate processing apparatus for carrying out the substrate processing method according to any one of claims 1 to 12, comprising:
a substrate holding mechanism for holding a substrate;
a 1 st processing liquid nozzle configured to supply the 1 st processing liquid to the substrate held by the substrate holding mechanism;
a 2 nd processing liquid nozzle configured to supply the 2 nd processing liquid to the substrate held by the substrate holding mechanism;
a rinse solution nozzle configured to supply the rinse solution to the substrate held by the substrate holding mechanism; and
and a control unit which controls the supply of the 1 st processing liquid from the 1 st processing liquid nozzle to perform the 1 st processing liquid supply step, controls the supply of the 2 nd processing liquid from the 2 nd processing liquid nozzle to perform the 2 nd processing liquid supply step, and controls the supply of the rinse liquid from the rinse liquid nozzle to perform the rinse step.
14. The substrate processing apparatus according to claim 13, wherein the substrate holding mechanism comprises a spin chuck which holds and rotates a surface of the substrate horizontally upward,
the control unit controls the supply of the 1 st processing liquid from the 1 st processing liquid nozzle and the rotation of the spin chuck in the 1 st processing liquid supply step, thereby spin-coating the 1 st processing liquid on the surface of the substrate.
15. The substrate processing apparatus of claim 13 or 14, further comprising:
a nozzle moving unit which moves the 1 st processing liquid nozzle between a processing position for supplying the processing liquid to the substrate held by the substrate holding mechanism and a standby position retracted from the processing position; and
and a cleaning tank configured to immerse the discharge port of the 1 st treatment liquid nozzle in a nozzle cleaning liquid at the standby position.
CN202180047544.1A 2020-07-14 2021-06-01 Substrate processing method and substrate processing apparatus Pending CN115769342A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2020-120953 2020-07-14
JP2020120953A JP2022018019A (en) 2020-07-14 2020-07-14 Substrate processing method and substrate processing device
PCT/JP2021/020858 WO2022014181A1 (en) 2020-07-14 2021-06-01 Substrate processing method and substrate processing device

Publications (1)

Publication Number Publication Date
CN115769342A true CN115769342A (en) 2023-03-07

Family

ID=79555192

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180047544.1A Pending CN115769342A (en) 2020-07-14 2021-06-01 Substrate processing method and substrate processing apparatus

Country Status (5)

Country Link
JP (1) JP2022018019A (en)
KR (1) KR20230013120A (en)
CN (1) CN115769342A (en)
TW (1) TWI804897B (en)
WO (1) WO2022014181A1 (en)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2626324B2 (en) * 1991-07-26 1997-07-02 富士通株式会社 Cleaning method
JP4259939B2 (en) 2003-03-26 2009-04-30 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
JP2007005711A (en) * 2005-06-27 2007-01-11 Dainippon Screen Mfg Co Ltd Substrate processing apparatus and substrate processing method
JP4672487B2 (en) * 2005-08-26 2011-04-20 大日本スクリーン製造株式会社 Resist removing method and resist removing apparatus
JP5290837B2 (en) * 2009-03-31 2013-09-18 芝浦メカトロニクス株式会社 Substrate processing apparatus and substrate processing method
JP5802407B2 (en) * 2011-03-04 2015-10-28 三菱瓦斯化学株式会社 Substrate processing apparatus and substrate processing method
JP7058094B2 (en) * 2017-09-19 2022-04-21 株式会社Screenホールディングス Board processing equipment and board processing method
JP7144193B2 (en) 2018-05-29 2022-09-29 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
TWI804897B (en) 2023-06-11
TW202225862A (en) 2022-07-01
KR20230013120A (en) 2023-01-26
WO2022014181A1 (en) 2022-01-20
JP2022018019A (en) 2022-01-26

Similar Documents

Publication Publication Date Title
US10312114B2 (en) Substrate processing method, and substrate processing device
KR101014507B1 (en) Substrate treatment apparatus
WO2001084621A1 (en) Rotation holding device and semiconductor substrate processing device
US20020066464A1 (en) Processing a workpiece using ozone and sonic energy
KR20030043235A (en) Cleaning method and cleaning apparatus for performing the same
KR20070065214A (en) Substrate cleaning method and substrate cleaning apparatus
TWI631996B (en) Substrate processing method and substrate processing device
JP2006344907A (en) Method and apparatus for processing substrate
KR102420293B1 (en) Substrate processing method, substrate processing apparatus, and computer-readable storage medium stored with substrate processing program
US20070246079A1 (en) Multi zone shower head for cleaning and drying wafer and method of cleaning and drying wafer
JP4963994B2 (en) Substrate processing apparatus and substrate processing method
JP2008034428A (en) Equipment and method for processing substrate
JP2004327962A (en) Resist separation apparatus and separation method
JP2005268308A (en) Resist peeling method and resist peeling apparatus
CN115769342A (en) Substrate processing method and substrate processing apparatus
JPH11330041A (en) Device for processing substrate by etching liquid
JP2007234812A (en) Substrate processing method and substrate processing device
JP2001085310A (en) Chemical treatment method and chemical treatment apparatus
US11773492B2 (en) Substrate processing apparatus, substrate processing method, and storage medium
JP3035450B2 (en) Substrate cleaning method
KR20070075508A (en) Method for removing photo resists on the substrate
JP7094147B2 (en) Board processing method and board processing equipment
KR100793173B1 (en) Apparatus and method for treating substrate
US20070051389A1 (en) Method and apparatus for substrate rinsing
JP2006278954A (en) Substrate treatment method and substrate treatment equipment

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination