CN115758967A - 一种验证网表寄存器功能的方法、系统、设备和存储介质 - Google Patents

一种验证网表寄存器功能的方法、系统、设备和存储介质 Download PDF

Info

Publication number
CN115758967A
CN115758967A CN202211450615.5A CN202211450615A CN115758967A CN 115758967 A CN115758967 A CN 115758967A CN 202211450615 A CN202211450615 A CN 202211450615A CN 115758967 A CN115758967 A CN 115758967A
Authority
CN
China
Prior art keywords
register
registers
verifying
function
mapping
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211450615.5A
Other languages
English (en)
Inventor
王凯
符云越
刘凯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shandong Yunhai Guochuang Cloud Computing Equipment Industry Innovation Center Co Ltd
Original Assignee
Shandong Yunhai Guochuang Cloud Computing Equipment Industry Innovation Center Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shandong Yunhai Guochuang Cloud Computing Equipment Industry Innovation Center Co Ltd filed Critical Shandong Yunhai Guochuang Cloud Computing Equipment Industry Innovation Center Co Ltd
Priority to CN202211450615.5A priority Critical patent/CN115758967A/zh
Publication of CN115758967A publication Critical patent/CN115758967A/zh
Pending legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明提供一种验证网表寄存器功能的方法、系统、设备和存储介质,方法包括:对被测器件的寄存器类型进行分类,建立寄存器模型至寄存器的映射;对所有寄存器的路径进行检查以确认所有寄存器能够访问;切换至测试模式,对所有寄存器功能进行验证;以及响应于所有寄存器功能均验证成功,确认所述被测器件的寄存器功能正常。本发明通过映射的方式可以直接访问所有寄存器,并且本发明不消耗仿真时间,不需要增加验证平台设计,可移植性强。

Description

一种验证网表寄存器功能的方法、系统、设备和存储介质
技术领域
本发明涉及芯片验证领域,更具体地,特别是指一种验证网表寄存器功能的方法、系统、设备和存储介质。
背景技术
由于UVM(Universal Verification Methodology,通用验证方法学)具有速度快、效率高、灵活稳定、集成度高等优点,所以在硬件逻辑验证与设计中是十分必要的。UVM寄存器模型重新定义了验证平台与DUT(Device Under Test,被测器件)的寄存器接口,使得验证人员更好地组织及配置寄存器,简化流程、减少工作量。
现有技术中对网表寄存器功能验证存在以下缺陷:
1.通常需要DUT切换至testmode(测试模式),通过JTAG(Joint Test ActionGroup,联合测试工作组)串联所有内部寄存器,并将所有寄存器测试结果,通过GPIO(General-purpose input/output,通用输入/输出)输出来判断,耗时耗力,且需要jtagmaster(控制)模型;
2.若某个寄存器出现问题,只能通过结果判断,出现问题,开源方式不能准确定位,只能通过每个公司的自研算法定位出错的寄存器;
3.消耗仿真时间,需要单独设计验证case(案例)及平台驱动。
发明内容
有鉴于此,本发明实施例的目的在于提出一种验证网表寄存器功能的方法、系统、计算机设备及计算机可读存储介质,本发明采用自上而下的映射方式,将待测设计内部所有模块的寄存器通过UVM寄存器模块后门访问的方式,映射至uvm-reg-block的子类,并实现被测器件基础功能的隔离,不占用仿真时间,采用的寄存器模型独立于UVM的运行环境之外,完成自我隔离,避免非时序读写与UVM的正常测试相互影响。
基于上述目的,本发明实施例的一方面提供了一种验证网表寄存器功能的方法,包括如下步骤:对被测器件的寄存器类型进行分类,建立寄存器模型至寄存器的映射;对所有寄存器的路径进行检查以确认所有寄存器能够访问;切换至测试模式,对所有寄存器功能进行验证;以及响应于所有寄存器功能均验证成功,确认所述被测器件的寄存器功能正常。
在一些实施方式中,所述对被测器件的寄存器类型进行分类包括:按照寄存器所属作用的不同将寄存器分为功能类寄存器和存储类寄存器,并对每个类别中的寄存器按照硬件模块的不同划分为对应的模块类。
在一些实施方式中,所述对被测器件的寄存器类型进行分类包括:对每个模块类中的寄存器按照内部域的不同进行区域划分。
在一些实施方式中,所述建立寄存器模型至寄存器的映射包括:基于后端DFT扫描链设计思想,在网表验证阶段,利用UVM从仿真环境的外部访问函数寄存器,建立寄存器模型。
在一些实施方式中,所述建立寄存器模型至寄存器的映射包括:将被测器件内部所有模块的寄存器通过UVM寄存器模块后门访问的方式映射至UVM寄存器模块的子类。
在一些实施方式中,所述对所有寄存器功能进行验证包括:基于UVM后门的扫描链验证方式,确定寄存器链接方式、时钟、复位、寄存器行为是否正确。
在一些实施方式中,方法还包括:响应于寄存器功能出现异常,通过映射和UVM内部函数传导的路径字符变量对出现异常的寄存器进行定位。
本发明实施例的另一方面,提供了一种验证网表寄存器功能的系统,包括:映射模块,配置用于对被测器件的寄存器类型进行分类,建立寄存器模型至寄存器的映射;检查模块,配置用于对所有寄存器的路径进行检查以确认所有寄存器能够访问;验证模块,配置用于切换至测试模式,对所有寄存器功能进行验证;以及确认模块,配置用于响应于所有寄存器功能均验证成功,确认所述被测器件的寄存器功能正常。
本发明实施例的又一方面,还提供了一种计算机设备,包括:至少一个处理器;以及存储器,所述存储器存储有可在所述处理器上运行的计算机指令,所述指令由所述处理器执行时实现如上方法的步骤。
本发明实施例的再一方面,还提供了一种计算机可读存储介质,计算机可读存储介质存储有被处理器执行时实现如上方法步骤的计算机程序。
本发明具有以下有益技术效果:采用自上而下的映射方式,将待测设计内部所有模块的寄存器通过UVM寄存器模块后门访问的方式,映射至uvm-reg-block的子类,设计有config模块类,与dut同步实现testmode下,DUT基础功能的隔离,且将本设计方式,存在于start_of_simulation phase阶段,不占用仿真时间,采用的寄存器模型独立于UVM的environment之外,完成自我隔离,避免非时序读写与UVM的正常测试影响相互影响,提高了芯片项目的验证效率。
附图说明
为了更清楚地说明本发明实施例或现有技术中的技术方案,下面将对实施例或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的实施例。
图1为本发明提供的验证网表寄存器功能的方法的实施例的示意图;
图2为本发明提供的验证网表寄存器功能的方法的框架示意图;
图3为本发明提供的验证网表寄存器功能的方法的流程图;
图4为本发明提供的寄存器分类示意图;
图5为本发明提供的验证网表寄存器功能的系统的实施例的示意图;
图6为本发明提供的验证网表寄存器功能的计算机设备的实施例的硬件结构示意图;
图7为本发明提供的验证网表寄存器功能的计算机存储介质的实施例的示意图。
具体实施方式
为使本发明的目的、技术方案和优点更加清楚明白,以下结合具体实施例,并参照附图,对本发明实施例进一步详细说明。
需要说明的是,本发明实施例中所有使用“第一”和“第二”的表述均是为了区分两个相同名称非相同的实体或者非相同的参量,可见“第一”“第二”仅为了表述的方便,不应理解为对本发明实施例的限定,后续实施例对此不再一一说明。
本发明实施例的第一个方面,提出了一种验证网表寄存器功能的方法的实施例。图1示出的是本发明提供的验证网表寄存器功能的方法的实施例的示意图。如图1所示,本发明实施例包括如下步骤:
S1、对被测器件的寄存器类型进行分类,建立寄存器模型至寄存器的映射;
S2、对所有寄存器的路径进行检查以确认所有寄存器能够访问;
S3、切换至测试模式,对所有寄存器功能进行验证;以及
S4、响应于所有寄存器功能均验证成功,确认所述被测器件的寄存器功能正常。
图2为本发明提供的验证网表寄存器功能的方法的框架示意图,如图2所示,本发明实施例中包括MEM#-BLOCK、FUNC#-BLOCK、REG-MAPPE、Hdl-hier-check和Dft-config。
MEM#-BLOCK:包含uvm-reg类,按照功能分配,将属于mem搭建的寄存器缓存,为主要验证对象,分配至mem-block;
FUNC#-BLOCK:包含uvm-reg类,按照功能分配,将属于模块配置寄存器,分配至func0-block;
REG-MAPPE:每个寄存器在加入寄存器模型时都有固定的地址,reg-map用于存储管理这些地址,并将其转换成可以访问的物理地址,寄存器中存放偏移地址,reg-map,以block为单位,存放绝对地址;寄存器使用前门访问对func#-block实现读或写操作时,reg-map就会将地址转为绝对地址,启动读或写请求,并将结果返回是寄存器模型;如果寄存器使用后门访问对mem#-block实现读或写操作时,reg-map会根据hdl-hier-check的结果,选择路径,对mem#block进行访问,启动读或写请求,并将结果返回是寄存器模型;
Hdl-hier-check:检查reg-map中mem-block至寄存器型存储器的映射,如果未检查到,返回null;
Dft-config:切换DUT的testmode,与整体验证环境模式保持一致,开关验证log的开启与关闭。
在UVM中,Phase(阶段)是使Testbench(测试台)中各种各样的uvm_component按照各自的需求可以阶段性执行的一种自动化的机制。简单的说就是使验证组件能够按需自动化执行的一种机制。Phase这个机制是在上一代OVM的基础上扩展出来的,其就是为了增加了验证平台在各个阶段可控性和复用性,组成UVM框架有很多的组件,要让这些组件能有序的进行,就需要Phase机制。本发明实施例可以应用的uvm phase包含Start of simulationphase、Check phase和Report phase。
图3为本发明提供的验证网表寄存器功能的方法的流程图。如图3所示,首先对DUT的寄存器类型进行分类,对寄存器分类后,建立寄存器模型至hdl的映射;由check模块,进行hdl的路径检查,确认所有寄存器可访问,切换至testmode模式,所有寄存器功能进行验证,判断验证达成,若达成确认所有寄存器结果正确,结束整个流程,若未达成,进行hdl检查,重新建立寄存器模型至hdl的映射。
图4为本发明提供的寄存器分类示意图,如图4所示,寄存器分类标准:1.功能类和存储类:按照所属作用的不同划分,功能类为配置类寄存器,存储类为寄存器搭建的存储矩阵;2.对两大类中的按照所述硬件模块不同,划分为不同的模块类;3.每个模块,包含不同的寄存器域,按照模块内部域的话,对寄存器进行区别划分。
本设计基于后端DFT扫描链设计思想,在网表验证阶段,利用UVM从仿真环境的外部访问函数寄存器,建立寄存器模型,实现基于UVM后门的扫描链验证方式,确定寄存器链接方式、时钟、复位、寄存器行为是否正确。因为在仿真时,较难直接确认所有内部寄存器缓存的行为是否正确,而为避免因为寄存器问题,易造成后仿缓存出现错误,所以需要设计一种可以直接访问所有寄存器的方式。本设计提出一种基于UVM的网表寄存器功能快速验证的设计方法,采用自上而下的映射方式,将待测设计内部所有模块的寄存器通过UVM寄存器模块后门访问的方式,映射至uvm-reg-block的子类,设计有config模块类,与dut同步实现testmode下,DUT基础功能的隔离,且将本设计方式,存在于start_of_simulation phase阶段,不占用仿真时间,采用的寄存器模型独立于UVM的environment之外,完成自我隔离,避免非时序读写与UVM的正常测试影响相互影响。本设计在论述了逻辑原理的基础上,提出了实现原理,并用System Verilog硬件描述语言实现控制逻辑,验证了方案的可行性。
本发明实施例采用uvm reg model作为基础类,无需jtag参与,在仿真阶段,实现对dut内部的所有寄存器行为验证;通过映射及UVM内部函数传导的路径字符变量,可以快速确定病态寄存器;本发明实施例不消耗仿真时间,不需要增加验证平台设计,可移植性强。
需要特别指出的是,上述验证网表寄存器功能的方法的各个实施例中的各个步骤均可以相互交叉、替换、增加、删减,因此,这些合理的排列组合变换之于验证网表寄存器功能的方法也应当属于本发明的保护范围,并且不应将本发明的保护范围局限在实施例之上。
基于上述目的,本发明实施例的第二个方面,提出了一种验证网表寄存器功能的系统。如图5所示,系统200包括如下模块:映射模块,配置用于对被测器件的寄存器类型进行分类,建立寄存器模型至寄存器的映射;检查模块,配置用于对所有寄存器的路径进行检查以确认所有寄存器能够访问;验证模块,配置用于切换至测试模式,对所有寄存器功能进行验证;以及确认模块,配置用于响应于所有寄存器功能均验证成功,确认所述被测器件的寄存器功能正常。
在一些实施方式中,所述映射模块配置用于:按照寄存器所属作用的不同将寄存器分为功能类寄存器和存储类寄存器,并对每个类别中的寄存器按照硬件模块的不同划分为对应的模块类。
在一些实施方式中,所述映射模块配置用于:对每个模块类中的寄存器按照内部域的不同进行区域划分。
在一些实施方式中,所述映射模块配置用于:基于后端DFT扫描链设计思想,在网表验证阶段,利用UVM从仿真环境的外部访问函数寄存器,建立寄存器模型。
在一些实施方式中,所述映射模块配置用于:将被测器件内部所有模块的寄存器通过UVM寄存器模块后门访问的方式映射至UVM寄存器模块的子类。
在一些实施方式中,所述验证模块配置用于:基于UVM后门的扫描链验证方式,确定寄存器链接方式、时钟、复位、寄存器行为是否正确。
在一些实施方式中,系统还包括定位模块,配置用于:响应于寄存器功能出现异常,通过映射和UVM内部函数传导的路径字符变量对出现异常的寄存器进行定位。
基于上述目的,本发明实施例的第三个方面,提出了一种计算机设备,包括:至少一个处理器;以及存储器,存储器存储有可在处理器上运行的计算机指令,指令由处理器执行以实现如下步骤:S1、对被测器件的寄存器类型进行分类,建立寄存器模型至寄存器的映射;S2、对所有寄存器的路径进行检查以确认所有寄存器能够访问;S3、切换至测试模式,对所有寄存器功能进行验证;以及S4、响应于所有寄存器功能均验证成功,确认所述被测器件的寄存器功能正常。
在一些实施方式中,所述对被测器件的寄存器类型进行分类包括:按照寄存器所属作用的不同将寄存器分为功能类寄存器和存储类寄存器,并对每个类别中的寄存器按照硬件模块的不同划分为对应的模块类。
在一些实施方式中,所述对被测器件的寄存器类型进行分类包括:对每个模块类中的寄存器按照内部域的不同进行区域划分。
在一些实施方式中,所述建立寄存器模型至寄存器的映射包括:基于后端DFT扫描链设计思想,在网表验证阶段,利用UVM从仿真环境的外部访问函数寄存器,建立寄存器模型。
在一些实施方式中,所述建立寄存器模型至寄存器的映射包括:将被测器件内部所有模块的寄存器通过UVM寄存器模块后门访问的方式映射至UVM寄存器模块的子类。
在一些实施方式中,所述对所有寄存器功能进行验证包括:基于UVM后门的扫描链验证方式,确定寄存器链接方式、时钟、复位、寄存器行为是否正确。
在一些实施方式中,步骤还包括:响应于寄存器功能出现异常,通过映射和UVM内部函数传导的路径字符变量对出现异常的寄存器进行定位。
如图6所示,为本发明提供的上述验证网表寄存器功能的计算机设备的一个实施例的硬件结构示意图。
以如图6所示的装置为例,在该装置中包括一个处理器301以及一个存储器302。
处理器301和存储器302可以通过总线或者其他方式连接,图6中以通过总线连接为例。
存储器302作为一种非易失性计算机可读存储介质,可用于存储非易失性软件程序、非易失性计算机可执行程序以及模块,如本申请实施例中的验证网表寄存器功能的方法对应的程序指令/模块。处理器301通过运行存储在存储器302中的非易失性软件程序、指令以及模块,从而执行服务器的各种功能应用以及数据处理,即实现验证网表寄存器功能的方法。
存储器302可以包括存储程序区和存储数据区,其中,存储程序区可存储操作系统、至少一个功能所需要的应用程序;存储数据区可存储根据验证网表寄存器功能的方法的使用所创建的数据等。此外,存储器302可以包括高速随机存取存储器,还可以包括非易失性存储器,例如至少一个磁盘存储器件、闪存器件、或其他非易失性固态存储器件。在一些实施例中,存储器302可选包括相对于处理器301远程设置的存储器,这些远程存储器可以通过网络连接至本地模块。上述网络的实例包括但不限于互联网、企业内部网、局域网、移动通信网及其组合。
一个或者多个验证网表寄存器功能的方法对应的计算机指令303存储在存储器302中,当被处理器301执行时,执行上述任意方法实施例中的验证网表寄存器功能的方法。
执行上述验证网表寄存器功能的方法的计算机设备的任何一个实施例,可以达到与之对应的前述任意方法实施例相同或者相类似的效果。
本发明还提供了一种计算机可读存储介质,计算机可读存储介质存储有被处理器执行时执行验证网表寄存器功能的方法的计算机程序。
如图7所示,为本发明提供的上述验证网表寄存器功能的计算机存储介质的一个实施例的示意图。以如图7所示的计算机存储介质为例,计算机可读存储介质401存储有被处理器执行时执行如上方法的计算机程序402。
最后需要说明的是,本领域普通技术人员可以理解实现上述实施例方法中的全部或部分流程,可以通过计算机程序来指令相关硬件来完成,验证网表寄存器功能的方法的程序可存储于一计算机可读取存储介质中,该程序在执行时,可包括如上述各方法的实施例的流程。其中,程序的存储介质可为磁碟、光盘、只读存储记忆体(ROM)或随机存储记忆体(RAM)等。上述计算机程序的实施例,可以达到与之对应的前述任意方法实施例相同或者相类似的效果。
以上是本发明公开的示例性实施例,但是应当注意,在不背离权利要求限定的本发明实施例公开的范围的前提下,可以进行多种改变和修改。根据这里描述的公开实施例的方法权利要求的功能、步骤和/或动作不需以任何特定顺序执行。此外,尽管本发明实施例公开的元素可以以个体形式描述或要求,但除非明确限制为单数,也可以理解为多个。
应当理解的是,在本文中使用的,除非上下文清楚地支持例外情况,单数形式“一个”旨在也包括复数形式。还应当理解的是,在本文中使用的“和/或”是指包括一个或者一个以上相关联地列出的项目的任意和所有可能组合。
上述本发明实施例公开实施例序号仅仅为了描述,不代表实施例的优劣。
本领域普通技术人员可以理解实现上述实施例的全部或部分步骤可以通过硬件来完成,也可以通过程序来指令相关的硬件完成,程序可以存储于一种计算机可读存储介质中,上述提到的存储介质可以是只读存储器,磁盘或光盘等。
所属领域的普通技术人员应当理解:以上任何实施例的讨论仅为示例性的,并非旨在暗示本发明实施例公开的范围(包括权利要求)被限于这些例子;在本发明实施例的思路下,以上实施例或者不同实施例中的技术特征之间也可以进行组合,并存在如上的本发明实施例的不同方面的许多其它变化,为了简明它们没有在细节中提供。因此,凡在本发明实施例的精神和原则之内,所做的任何省略、修改、等同替换、改进等,均应包含在本发明实施例的保护范围之内。

Claims (10)

1.一种验证网表寄存器功能的方法,其特征在于,包括如下步骤:
对被测器件的寄存器类型进行分类,建立寄存器模型至寄存器的映射;
对所有寄存器的路径进行检查以确认所有寄存器能够访问;
切换至测试模式,对所有寄存器功能进行验证;以及
响应于所有寄存器功能均验证成功,确认所述被测器件的寄存器功能正常。
2.根据权利要求1所述的方法,其特征在于,所述对被测器件的寄存器类型进行分类包括:
按照寄存器所属作用的不同将寄存器分为功能类寄存器和存储类寄存器,并对每个类别中的寄存器按照硬件模块的不同划分为对应的模块类。
3.根据权利要求2所述的方法,其特征在于,所述对被测器件的寄存器类型进行分类包括:
对每个模块类中的寄存器按照内部域的不同进行区域划分。
4.根据权利要求1所述的方法,其特征在于,所述建立寄存器模型至寄存器的映射包括:
基于后端DFT扫描链设计思想,在网表验证阶段,利用UVM从仿真环境的外部访问函数寄存器,建立寄存器模型。
5.根据权利要求4所述的方法,其特征在于,所述建立寄存器模型至寄存器的映射包括:
将被测器件内部所有模块的寄存器通过UVM寄存器模块后门访问的方式映射至UVM寄存器模块的子类。
6.根据权利要求1所述的方法,其特征在于,所述对所有寄存器功能进行验证包括:
基于UVM后门的扫描链验证方式,确定寄存器链接方式、时钟、复位、寄存器行为是否正确。
7.根据权利要求1所述的方法,其特征在于,方法还包括:
响应于寄存器功能出现异常,通过映射和UVM内部函数传导的路径字符变量对出现异常的寄存器进行定位。
8.一种验证网表寄存器功能的系统,其特征在于,包括:
映射模块,配置用于对被测器件的寄存器类型进行分类,建立寄存器模型至寄存器的映射;
检查模块,配置用于对所有寄存器的路径进行检查以确认所有寄存器能够访问;
验证模块,配置用于切换至测试模式,对所有寄存器功能进行验证;以及
确认模块,配置用于响应于所有寄存器功能均验证成功,确认所述被测器件的寄存器功能正常。
9.一种计算机设备,其特征在于,包括:
至少一个处理器;以及
存储器,所述存储器存储有可在所述处理器上运行的计算机指令,所述指令由所述处理器执行时实现权利要求1-7任意一项所述方法的步骤。
10.一种计算机可读存储介质,所述计算机可读存储介质存储有计算机程序,其特征在于,所述计算机程序被处理器执行时实现权利要求1-7任意一项所述方法的步骤。
CN202211450615.5A 2022-11-18 2022-11-18 一种验证网表寄存器功能的方法、系统、设备和存储介质 Pending CN115758967A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211450615.5A CN115758967A (zh) 2022-11-18 2022-11-18 一种验证网表寄存器功能的方法、系统、设备和存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211450615.5A CN115758967A (zh) 2022-11-18 2022-11-18 一种验证网表寄存器功能的方法、系统、设备和存储介质

Publications (1)

Publication Number Publication Date
CN115758967A true CN115758967A (zh) 2023-03-07

Family

ID=85332935

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211450615.5A Pending CN115758967A (zh) 2022-11-18 2022-11-18 一种验证网表寄存器功能的方法、系统、设备和存储介质

Country Status (1)

Country Link
CN (1) CN115758967A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116956789A (zh) * 2023-09-19 2023-10-27 芯耀辉科技有限公司 一种用于寄存器后门访问的方法、计算设备及介质

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116956789A (zh) * 2023-09-19 2023-10-27 芯耀辉科技有限公司 一种用于寄存器后门访问的方法、计算设备及介质
CN116956789B (zh) * 2023-09-19 2023-12-12 芯耀辉科技有限公司 一种用于寄存器后门访问的方法、计算设备及介质

Similar Documents

Publication Publication Date Title
US7036114B2 (en) Method and apparatus for cycle-based computation
US7756695B2 (en) Accelerated simulation and verification of a system under test (SUT) using cache and replacement management tables
US20070106963A1 (en) Method and system for predicate-based compositional minimization in a verification environment
CN112417798B (zh) 一种时序测试方法、装置、电子设备及存储介质
US20070220461A1 (en) Method and system for sequential equivalence checking with multiple initial states
US7043596B2 (en) Method and apparatus for simulation processor
US6959272B2 (en) Method and system for generating an ATPG model of a memory from behavioral descriptions
CN114707453A (zh) 芯片功能的验证方法、装置、电子设备及存储介质
CN115758967A (zh) 一种验证网表寄存器功能的方法、系统、设备和存储介质
WO2001095160A2 (en) Accessing state information in a hardware/software co-simulation
US20160210388A1 (en) Scalable liveness verification via abstraction refinement
US11675009B2 (en) Converting formal verification testbench drivers with nondeterministic inputs to simulation monitors
US20210326243A1 (en) Dynamic reordering of test case execution
CN111624475B (zh) 大规模集成电路的测试方法及系统
US11023368B1 (en) Reduction of testing space for system testing infrastructure using combinatorics
CN115827568B (zh) 获取逻辑系统设计的数据的方法、电子设备和存储介质
US9672094B1 (en) Interconnect circuitry fault detection
AU2021227739B2 (en) Executing tests in deterministic order
US20200349304A1 (en) Method, apparatus, device, and medium for implementing simulator
US7945433B2 (en) Hardware simulation accelerator design and method that exploits a parallel structure of user models to support a larger user model size
US11442839B2 (en) Runtime metrics based test ordering
CN108829592B (zh) 快速访问寄存器和表项的验证方法、装置和验证设备
CN115983171B (zh) 用于对片上系统进行后仿真的方法和仿真平台
US20230111938A1 (en) Testbenches for electronic systems with automatic insertion of verification features
WO2019133619A1 (en) Method and apparatus for enhanced fpga validation using emulation

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination