CN115513079A - 蚀刻系统、模型和制造过程 - Google Patents

蚀刻系统、模型和制造过程 Download PDF

Info

Publication number
CN115513079A
CN115513079A CN202210707850.XA CN202210707850A CN115513079A CN 115513079 A CN115513079 A CN 115513079A CN 202210707850 A CN202210707850 A CN 202210707850A CN 115513079 A CN115513079 A CN 115513079A
Authority
CN
China
Prior art keywords
etch
curvature
model
pattern
profile
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210707850.XA
Other languages
English (en)
Inventor
黄郊
王进泽
严焱
范永发
刘亮
冯牧
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN115513079A publication Critical patent/CN115513079A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

蚀刻偏差是基于衬底图案中的轮廓的曲率来确定的。所述蚀刻偏差被配置成被用于提高半导体图案化过程相对于先前的图案化过程的准确度。在一些实施例中,所述衬底图案的表示被接收,所述表示包括所述衬底图案中的所述轮廓。所述衬底图案的所述轮廓的曲率被确定并被输入至模拟模型。所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性。所述衬底图案中的所述轮廓的所述蚀刻偏差通过基于所述曲率的所述模拟模型来输出。

Description

蚀刻系统、模型和制造过程
技术领域
本公开总体涉及与计算光刻相关联的蚀刻模拟。
背景技术
光刻投影设备可以被用于例如集成电路(IC)的制造中。图案形成装置(例如,掩模)可以包括或提供与IC的单独的层相对应的图案(“设计布局”),并且这种图案可以通过诸如通过所述图案形成装置上的图案来辐照所述目标部分之类的方法而被转印到已经涂覆有辐射敏感材料(“抗蚀剂”)层的衬底(例如,硅晶片)上的目标部分(例如,包括一个或更多个管芯)上。通常,单个衬底包括多个相邻的目标部分,所述图案被光刻投影设备连续地、以一次一个目标部分的方式被转印到所述多个相邻的目标部分。在一种类型的光刻投影设备中,在一次操作中整个图案形成装置上的图案被转印到一个目标部分上。这样的设备通常被称为步进器。在一种替代的设备(通常称为步进扫描设备)中,投影束沿给定的参考方向(“扫描”方向)在图案形成装置之上扫描,同时与所述参考方向平行地或反向平行地同步移动衬底。所述图案形成装置上的图案的不同部分被逐步地转印到一个目标部分上。因为,通常,所述光刻投影设备将具有缩小比率M(例如,4),所述衬底被移动的速度F将为所述投影束扫描所述图案形成装置的速度的1/M。关于光刻装置的更多信息可以从例如通过引用并入本文中的US 6,046,792中找到。
在将所述图案从图案形成装置转印至所述衬底之前,所述衬底可能经历各种工序,诸如涂底料、抗蚀剂涂覆以及软焙烤。在曝光之后,所述衬底可能经历其它工序(“曝光后工序”),诸如曝光后焙烤(PEB)、显影、硬焙烤以及对所转印的图案的测量/检查。这一系列工序被用作为制作器件(例如IC)的单独的层的基础。然后,所述衬底可能经历各种过程,诸如蚀刻、离子注入(掺杂)、金属化、氧化、化学机械抛光等,所有的这些过程都旨在最终完成器件的单独的层。如果所述器件中需要多个层,则针对每一层重复全部工序或其变型。最终,器件将存在于所述衬底上的每个目标部分中。然后通过诸如锯切或切割之类的技术,使这些器件彼此分离,使得单独的器件可以被安装到承载件上,连接至引脚等。
制造器件(诸如半导体器件)典型地涉及使用多个制备过程处理衬底(例如,半导体晶片),以形成所述器件的各个特征和多个层。这些层和特征典型地使用例如沉积、光刻、蚀刻、化学机械抛光、离子注入来制造和处理。可以在衬底上的多个管芯上制作多个器件,然后将所述多个器件分成单独的器件。这种器件制造过程可以被认为是图案化过程。图案化过程涉及使用光刻设备中的图案形成装置的图案化步骤,诸如光学和/或纳米压印光刻,以将所述图案形成装置上的图案转印到衬底上,而且图案化过程典型地但可选地涉及一个或更多个有关的图案处理步骤,诸如通过显影设备进行抗蚀剂显影、使用焙烤工具来焙烤所述衬底、使用蚀刻设备利用所述图案进行蚀刻等。
光刻是器件(诸如IC)制造的中心步骤,其中,形成于衬底上的图案限定所述器件的功能元件,诸如微处理器、存储芯片等。类似的光刻技术还被用于平板显示器、微机电系统(MEMS)和其它装置的形成。
随着半导体制造过程的持续进步,功能元件的尺寸已经不断减小。同时,每器件的功能元件(诸如晶体管)的数量一直在稳定地增加,这遵循通常被称为“摩尔定律”的趋势。在当前的技术状态下,使用光刻投影设备来制造器件的层,所述光刻投影设备使用来自深紫外照射源的照射将设计布局投影到衬底上,从而形成具有远低于100nm(即,小于来自所述照射源(例如,193nm的照射源)的辐射的波长的一半)的尺寸的单独的功能元件。
印制具有小于光刻投影设备的经典分辨率极限的尺寸的特征的这种过程通常被称为低k1光刻术,根据分辨率公式CD=k1×λ/NA,其中,λ是所采用的辐射的波长(当前大多数情况下为248nm或193nm),NA是所述光刻投影设备中的投影光学器件的数值孔径,CD是“临界尺寸”-通常为所印制的最小特征尺寸-并且k1是经验分辨率因子。通常,k1越小,在所述衬底上再现类似于由设计者规划的形状和尺寸的图案以实现特定电学功能性和性能就变得越困难。为了克服这些困难,将复杂的精调整步骤应用到所述光刻投影设备、所述设计布局或所述图案形成装置。这些步骤包括例如但不限于:NA和光学相干性设置的优化、自定义照射方案、相移的图案形成装置的使用、设计布局中的光学邻近效应校正(OPC,有时也被称为“光学和过程校正”)、或通常被定义为“分辨率增强技术”(RET)的其它方法。
发明内容
在OPC和/或其它过程(例如,用于图案化过程优化和/或其它目的)期间经常考虑到蚀刻效应。例如,模拟模型可以被用于预测蚀刻效应(诸如蚀刻偏差)。先前的模拟模型包括被配置成模拟多种蚀刻效应的不同的项。例如,先前的模拟模型包括被配置成模拟晶片(衬底)图案中的附近特征对局部蚀刻位置处的蚀刻偏差的影响的项。同时,密度映射和/或其它工具可以被用于模拟对所述(局部)蚀刻偏差的长程晶片图案几何形状效应。然而,先前的模拟模型未考虑晶片图案中的轮廓的平面内曲率对所述蚀刻偏差的影响。
因而,根据实施例,提供一种非暂时性计算机可读介质,在所述非暂时性计算机可读介质上具有指令。所述指令在由计算机执行时使所述计算机接收衬底(例如,晶片)图案的轮廓的表示、确定所述轮廓的曲率、以及使用模拟模型来确定蚀刻效应。所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性。在一些实施例中,所述蚀刻效应是蚀刻偏差,并且所述指令使所述计算机基于所述模拟模型来输出基于所述曲率的对所述衬底图案的蚀刻偏差。
在一些实施例中,基于(1)所述轮廓的斜率和(2)所述轮廓中的最大值或最小值来确定所述曲率。
在一些实施例中,基于所述轮廓的一阶导数和二阶导数来确定所述曲率。
在一些实施例中,通过所述二阶导数与所述一阶导数之间的比率来确定所述曲率。
在一些实施例中,所述模拟模型包括多维算法。在一些实施例中,所述多维算法包括表示刻蚀过程的参数的一个或更多个非线性函数、线性函数或二次函数。
在一些实施例中,所述模拟模型包括物理蚀刻模型或半物理蚀刻模型。
在一些实施例中,所述模拟模型是蚀刻模型。在一些实施例中,所述蚀刻模型包括包含曲率项的多维算法,所述曲率项被配置成将所述曲率与所述蚀刻偏差关联。
在一些实施例中,所述轮廓是从所述衬底图案的来自对所述衬底图案的显影后检查的表示获得的。
在一些实施例中,所述轮廓是从抗蚀剂模型和/或光学模型获得的。
在一些实施例中,所述蚀刻效应是蚀刻偏差,并且所述蚀刻偏差被配置成被提供至成本函数以便于确定与单独的图案化过程变量相关联的成本。
根据另一实施例,提供一种用于确定针对衬底图案的蚀刻效应的方法。所述方法包括:接收所述衬底图案的轮廓的表示;确定所述轮廓的曲率;以及使用模拟模型,以基于所述曲率来确定针对所述衬底图案的所述蚀刻效应。所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性。在一些实施例中,所述蚀刻效应是蚀刻偏差。
在一些实施例中,基于(1)所述轮廓的斜率和(2)所述轮廓中的最大值或最小值来确定所述曲率。
在一些实施例中,基于所述轮廓的一阶导数和二阶导数来确定所述曲率。
在一些实施例中,通过所述二阶导数与所述一阶导数之间的比率来确定所述曲率。
在一些实施例中,所述模拟模型包括多维算法,并且其中,所述多维算法包括表示刻蚀过程的参数的一个或更多个非线性函数、线性函数或二次函数。
在一些实施例中,所述模拟模型包括物理蚀刻模型或半物理蚀刻模型。在一些实施例中,所述模拟模型是蚀刻模型,并且所述蚀刻模型包括包含曲率项的多维算法,所述曲率项被配置成将所述曲率与所述蚀刻偏差关联。
在一些实施例中,所述轮廓是从所述衬底图案的来自对所述衬底图案的显影后检查的表示获得的。
在一些实施例中,所述轮廓是从抗蚀剂模型和/或光学模型获得的。
在一些实施例中,所述蚀刻效应是蚀刻偏差,并且所述蚀刻偏差被配置成被提供至成本函数以便于确定与单独的图案化过程变量相关联的成本。
根据另一实施例,提供一种用于确定针对衬底图案的蚀刻效应的系统。所述系统包括一个或更多个硬件处理器,所述一个或更多个硬件处理器由机器可读指令配置以:接收所述衬底图案的轮廓的表示;确定所述轮廓的曲率;以及使用模拟模型,以基于所述曲率来确定针对所述衬底图案的所述蚀刻效应。所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性。在一些实施例中,所述蚀刻效应是蚀刻偏差。
在一些实施例中,基于(1)所述轮廓的斜率和(2)所述轮廓中的最大值或最小值来确定所述曲率。
在一些实施例中,基于所述轮廓的一阶导数和二阶导数来确定所述曲率。
在一些实施例中,通过所述二阶导数与所述一阶导数之间的比率来确定所述曲率。
在一些实施例中,所述模拟模型包括多维算法,并且其中,所述多维算法包括表示刻蚀过程的参数的一个或更多个非线性函数、线性函数或二次函数。
在一些实施例中,所述模拟模型包括物理蚀刻模型或半物理蚀刻模型。在一些实施例中,所述模拟模型是蚀刻模型,并且所述蚀刻模型包括包含曲率项的多维算法,所述曲率项被配置成将所述曲率与所述蚀刻偏差关联。
在一些实施例中,所述轮廓是从所述衬底图案的来自对所述衬底图案的显影后检查的表示获得的。
在一些实施例中,所述轮廓是从抗蚀剂模型和/或光学模型获得的。
在一些实施例中,所述蚀刻效应是蚀刻偏差,并且所述蚀刻偏差被配置成被提供至成本函数以便于确定与单独的图案化过程变量相关联的成本。
根据另一实施例,提供一种非暂时性计算机可读介质,在所述非暂时性计算机可读介质上具有指令。所述指令在由计算机执行时使所述计算机执行用于确定针对衬底上的图案的蚀刻偏差的模拟模型。所述蚀刻偏差是基于所述图案中的轮廓的曲率来确定的。所述蚀刻偏差被配置成被用于相对于先前的图案化过程提高图案化过程的准确度。所述指令引起包括以下各项的操作:接收所述图案的表示,其中,所述表示包括所述图案中的轮廓;确定所述图案的所述轮廓的所述曲率;将所述曲率输入至所述模拟模型,其中,所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性;以及基于所述模拟模型,输出针对所述图案中的所述轮廓的所述蚀刻偏差。来自所述模拟模型的所述蚀刻偏差被配置成在成本函数中使用以便于与单独的图案化过程变量相关联的成本的确定。与单独的图案化变量相关联的成本被配置成被用于便于所述图案化过程的优化。
在一些实施例中,所述模拟模型是蚀刻模型。
在一些实施例中,所述图案的表示包括(1)来自对所述图案的显影后检查的检查结果;或(2)所述图案中的所述轮廓的模型。
在一些实施例中,所述图案的所述表示包括来自对所述图案的显影后检查的检查结果,并且来自对所述图案的所述显影后检查的检查结果是从扫描电子显微镜或光学量测工具获得的。
在一些实施例中,所述曲率是基于(1)所述图案中的所述轮廓的斜率和(2)所述图案中的所述轮廓中的最大值或最小值来确定的。
附图说明
并入说明书中并组成说明书的一部分的随附附图图示出一个或更多个实施例,并且与说明书一起用于解释这些实施例。现在将参考随附的示意性附图、仅通过举例的方式来描述本发明的实施例,在附图中相应的附图标记表示指示相应的部件,并且在附图中:
图1图示出根据实施例的光刻投影设备的各个子系统的框图。
图2图示出根据实施例的用于光刻投影设备中的模拟光刻的示例性流程图。
图3图示出根据实施例的本方法。
图4图示出根据实施例的本模拟模型如何可以被用于基于蚀刻效应(诸如蚀刻偏差)来预测蚀刻后图案特征轮廓。
图5图示出根据实施例的衬底(例如,晶片)图案中的轮廓的曲率的确定。
图6图示出根据实施例的由本系统、模型和/或制造过程提供的相对于先前的系统、模型和/或制造过程的改善的示例量化。
图7是根据实施例的示例性计算机系统的框图。
图8是根据实施例的光刻投影设备的示意图。
图9是根据实施例的另一光刻投影设备的示意图。
图10是根据实施例的光刻投影设备的详细视图。
图11是根据实施例的所述光刻投影设备的所述源收集器模块的详细视图。
具体实施方式
如上文描述的,在OPC和/或其它过程(例如,用于图案化过程优化和/或其它目的)期间经常考虑到蚀刻效应。例如,模拟模型可以被用于基于蚀刻效应(诸如蚀刻偏差)来预测蚀刻后图案特征轮廓。蚀刻偏差可以被认为是给定的衬底图案特征尺寸在显影后检查(ADI)与蚀刻后检查(AEI)之间的改变。典型地,模拟模型(诸如有效蚀刻偏差(EEB)模型)基于ADI与AEI之间的各种图案特征中的尺寸差异来模拟和/或以其它方式确定用于晶片图案的蚀刻偏差映射。所述蚀刻偏差映射被用于确定图案特征的蚀刻后轮廓。
先前的模拟模型包括被配置成模拟各种种类的蚀刻效应(包括蚀刻偏差)的不同的项。例如,先前的模拟模型包括被配置成模拟来自衬底(例如,晶片)图案中的附近特征对局部蚀刻位置处的蚀刻偏差的影响的项。同时,密度映射和/或其它工具可以被用于模拟对所述(局部)蚀刻偏差的长程晶片图案几何形状效应。然而,先前的模拟模型未考虑晶片图案中的轮廓的平面内曲率对蚀刻偏差的影响。
有利地,本公开描述基于所述图案中的轮廓的曲率来确定针对衬底(例如,晶片)上的图案的蚀刻效应的系统、模型和制造过程(方法)。例如,所述蚀刻效应可以由蚀刻偏差或蚀刻轮廓等等来表示。所确定的蚀刻偏差被配置成被用于提高蚀刻后轮廓确定的准确度,并进而提高图案化过程相对于先前的图案化过程的总准确度。如本文中描述的,所述图案的表示被接收,所述表示包括所述图案中的给定的轮廓。所述图案的所述轮廓的曲率被确定并被输入至模拟模型。所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性。所述图案中的所述轮廓的蚀刻偏差通过所述模拟模型来输出。在其它可能的用途中,来自所述模拟模型的蚀刻偏差可以被用于确定蚀刻后特征轮廓,所述蚀刻后特征轮廓在成本函数中使用以便于确定与单独的图案化过程变量相关联的成本和/或被用于其它目的。例如,所述蚀刻后特征轮廓和/或与单独的图案化变量相关联的成本可以被用于便于图案化过程的优化。
关于附图来详细描述本公开的实施例,所述附图被提供为本公开的说明性示例,使得本领域技术人员能够实践本公开。值得注意的是,下文的图和示例不意味着将本公开的范围限制至单个实施例,而是通过将所描述的或图示的元件中的一些或全部交换,使其它实施例是可能的。此外,本公开的某些元件可以被部分地或完全地使用已知部件来实现,将仅描述这些已知部件的对于理解本公开来说必须的那些部分,并且将省略这些已知部件的其它部分的详细描述以便不混淆本公开。如本领域技术人员将明白的,除非本文中另有明确说明,否则被描述为以软件实现的实施例不应被限制于此,而是可以包括以硬件、或软件和硬件的组合来实现的实施例,并且反之亦然。在本说明书中,示出单数个部件的实施例不应被认为是限制性的;而是,除非本文中另有明确说明,否则本公开旨在涵盖包括多个相同部件的其它实施例,并且反之亦然。此外,除非明确地这样阐述,否则申请人不意图将本说明书或权利要求中的任何术语赋予不常见或特殊的含义。另外,本公开涵盖本文中通过图示或说明而提及的已知部件的现在和将来的已知等效物。
虽然本文已经具体参考了IC的制造,但是应明确理解,本文中的描述具有许多其它可能的应用。例如,它可以被用于集成光学系统的制造、磁畴存储器的引导和检测图案、液晶显示面板、薄膜磁头等。技术人员将理解,在这种替代应用的情境下,在本文中术语“掩模版”、“晶片”或“管芯”的任何使用应被认为分别可与更上位的术语“掩模”、“衬底”和“目标部分”互换。
在本文献中,术语“辐射”和“束”被用于涵盖所有类型的电磁辐射,包括紫外辐射(例如具有365nm、248mm、193nm、157mm或126mm的波长)和EUV(极紫外辐射,例如具有在约5nm至100nm的范围内的波长)。
如本文中使用的术语“投影光学器件”应被宽泛地解释为涵盖各种类型的光学系统,包括例如折射型光学器件、反射型光学器件、孔、和反射折射型光学器件。术语“投影光学器件”也可以共同地或单独地包括根据用于引导、整形或控制所述投影辐射束的这些设计类型中的任一设计类型来操作的部件。术语“投影光学器件”可以包括所述光刻投影设备中的任何光学部件,无论光学部件位于所述光刻投影设备的光学路径上的哪个位置。投影光学器件可以包括用于在所述辐射通过(例如,半导体)图案形成装置之前整形、调整和/或投影来自所述源的辐射的光学部件,和/或者用于在所述辐射通过所述图案形成装置之后整形、调整和/或投影所述辐射的光学部件。所述投影光学器件通常不包括所述源和所述图案形成装置。
(例如,半导体)图案形成装置可以包括或可以构成一个或更多个设计布局。可以利用CAD(计算机辅助设计)程序来产生所述设计布局,这种过程经常被称为EDA(电子设计自动化)。大多数CAD程序遵循预定设计规则集合,以便产生功能设计布局/图案形成装置。通过处理和设计限制来设置这些规则。例如,设计规则限定器件(诸如栅极、电容器等)、或互连线之间的间隔公差,以便确保器件或线不会以不期望的方式相互作用。所述设计规则可以包括和/或指定特定参数、对参数的限制和/或参数的范围、和/或其它信息。所述设计规则限制和/或参数中的一个或更多个可以被称为“临界尺寸”(CD)。器件的临界尺寸可以被定义为线或孔的最小宽度,或者两条线或两个孔之间的最小间距,或其它特征。因此,CD确定了所设计的器件的总体尺寸和密度。器件制备中的目标之一是(经由所述图案形成装置)在所述衬底上如实地再现原始设计意图。
如本发明中采用的术语“掩模”或“图案形成装置”可以被广义地解释为是指可以被用于向入射辐射束赋予被图案化的横截面的通用半导体图案形成装置,所述被图案化的横截面对应于将要在所述衬底的目标部分中产生的图案;在这种情境下,也可以使用术语“光阀”。除经典掩模(透射型或反射型;二元、相移、混合型等)以外,其它这样的图案形成装置的示例包括可编程反射镜阵列和可编程LCD阵列。
可编程反射镜阵列的示例可以是具有粘弹性控制层和反射表面的矩阵可寻址表面。这种设备所依据的基本原理是例如反射表面的已寻址区域将入射辐射反射为衍射辐射,而未寻址区域将入射辐射反射为非衍射辐射。在使用合适的滤光片的情况下,可以从反射束滤除所述非衍射辐射,从而仅留下衍射辐射;这样,所述束根据所述矩阵可寻址表面的寻址图案而变成被图案化的。可以使用合适的电子装置来执行所需的矩阵寻址。可编程LCD阵列的示例在美国专利号5,229,872中给出,所述美国专利通过引用而被并入本文中。
如本文中使用的,术语“图案化过程”通常意指通过施加指定光图案作为光刻过程的部分来产生蚀刻后的衬底的过程。然而,“图案化过程”还可以包括(例如,等离子体)蚀刻,因为本文中描述的特征中的许多特征可以对使用蚀刻(例如,等离子体)处理而形成印制图案提供益处。
如本文中使用的,术语“图案”意指待蚀刻到衬底(例如,晶片)上的理想化图案。
如本文中使用的,术语“印制图案”意指衬底上的基于目标图案来蚀刻的物理图案。所述印制图案可以包括例如由光刻过程所产生的沟槽、通道、凹陷、边缘或其它二维或三维特征。
如本文中使用的,术语“预测模型”、“过程模型”、“电子模型”和/或“模拟模型”(这些模型可以可互换地使用)意指包括对图案化过程进行模拟的一个或更多个模型的模型。例如,模型可以包括光学模型(例如,所述光学模型对用于传递光刻过程中的光的透镜系统/投影系统进行建模,并且可以包括对行进至光致抗蚀剂上的光的最终光学图像进行建模)、抗蚀剂模型(例如,所述抗蚀剂模型对所述抗蚀剂的物理效应(诸如由于所述光所引起的化学效应)进行建模)、和OPC模型(例如,所述OPC模型可以被用于制成目标图案,并且可以包括亚分辨率抗蚀剂特征(SRAF)等)、蚀刻(或蚀刻偏差)模型(例如,所述蚀刻模型模拟刻蚀过程对所印制的晶片图案的物理效应)、和/或其它模型。
如本文中使用的,术语“校准”意指修改(例如,改善或调谐)和/或验证某物,诸如模型。
图案化系统可以是这样的系统:所述系统包括上文中描述的部件中的任何或所有部件,以及被配置成执行与这些部件相关联的操作中的任何或所有操作的其它部件。例如,图案化系统可以包括光刻投影设备、扫描器、被配置成施加和/或移除抗蚀剂的系统、蚀刻系统、和/或其它系统。
作为介绍,图1图示出示例性光刻投影设备10A的各个子系统的示图。主要部件为:辐射源12A,所述辐射源可以是深紫外准分子激光器源或包括极紫外线(EUV)源的另一类型的源(如上文所论述的,所述光刻投影设备自身无需具有所述辐射源);照射光学器件,所述照射光学器件例如限定部分相干性(被表示为西格玛)且可以包括对来自所述源12A的辐射进行整形的光学部件14A、16Aa和16Ab;图案形成装置18A;以及透射光学器件16Ac,所述透射光学器件16Ac将所述图案形成装置图案的图像投影到衬底平面22A上。在所述投影光学装置的光瞳面处的可调滤光片或孔或孔阑20A可以限制入射到所述衬底平面22A上的束角范围,其中最大可能的角度限定所述投影光学器件的数值孔径NA=n sin(θmax),其中n是所述衬底与所述投影光学器件的最终元件之间的介质的折射率,θmax是从所述投影光学器件射出的仍可以射到所述衬底平面22A上的束的最大角度。
在光刻投影设备中,源将照射(即,辐射)提供至图案形成装置,并且投影光学器件经由所述图案形成装置将所述照射引导并成形到衬底上。所述投影光学器件可以包括部件14A、16Aa、16Ab和16Ac中的至少一些部件。空间图像(AI)是衬底水平下的辐射强度分布。可以使用抗蚀剂模型、根据所述空间图像来计算所述抗蚀剂图像,可以在美国专利申请公开号US 2009-0157630中找到这种方案的示例,所述美国专利申请的全部内容由此通过引用并入本文中。所述抗蚀剂模型与所述抗蚀剂层的性质(例如,在曝光、曝光后焙烤(PEB)和显影期间发生的化学过程的效应)有关。所述光刻投影设备的光学性质(例如,所述照射、所述图案形成装置和所述投影光学器件的性质)规定所述空间图像并且可以被限定在光学模型中。由于可以改变在所述光刻投影设备中使用的所述图案形成装置,因此期望使所述图案形成装置的光学性质与所述光刻投影设备的至少包括所述源和所述投影光学器件的其余部分的光学性质分开。在美国专利申请公布号US 2008-0301620、2007-0050749、2007-0031745、2008-0309897、2010-0162197和2010-0180251中描述了用于将设计布局变换成各种光刻图像(例如,空间图像、抗蚀剂图像等)的技术和模型、使用那些技术和模型施加OPC、以及评估性能(例如,在过程窗口方面)的细节,每个这些美国专利申请的全部公开内容由此通过引用并入本文中。
可能期望使用一个或更多个工具来产生例如可以被用于设计、控制、监测等所述图案化过程的结果。可以设置在以计算方式控制、设计等所述图案化过程的一个或更多个方面中使用的一个或更多个工具,所述一个或更多个方面诸如用于图案形成装置的图案设计(包括例如添加亚分辨率辅助特征或光学邻近效应校正)、用于所述图案形成装置的照射等。因此,在用于以计算方式控制、设计等涉及图案化的制造过程的系统中,所述制造系统部件和/或过程可以由各种功能模块和/或模型来描述。在一些实施例中,描述所述图案化过程(例如,蚀刻)的一个或更多个步骤和/或设备的一个或更多个电子(例如,数学的、参数化的等)模型可以被提供。在一些实施例中,所述图案化过程的模拟可以使用一个或更多个电子模型来执行,所述一个或更多个电子模型用于模拟所述图案化过程如何使用由图案形成装置提供的图案来形成图案化衬底。
图2中图示出用于模拟光刻投影设备的光刻术的示例性流程图。照射模型231表示所述照射的光学特性(包括辐射强度分布和/或相位分布)。投影光学器件模型232表示所述投影光学器件的光学特性(包括由所述投影光学器件所引起的所述辐射强度分布和/或所述相位分布的改变)。设计布局模型235表示设计布局的光学特性(包括由给定的设计布局所引起的所述辐射强度分布和/或所述相位分布的改变),所述设计布局是形成于图案形成装置上或由所述图案形成装置形成的特征的布置的表示。空间图像236可以使用所述照射模型231、所述投影光学器件模型232和所述设计布局模型235来模拟。可以使用抗蚀剂模型237,根据所述空间图像236来模拟抗蚀剂图像238。光刻的模拟可以例如预测所述抗蚀剂图像中的轮廓和/或CD。
更具体地,照射模型231可以表示所述照射的光学特性,包括但不限于NA-西格玛(σ)设置以及任何特定照射形状(例如,离轴照射,诸如环形、四极和偶极等)。所述投影光学器件模型232可以表示所述投影光学器件的光学特性,包括例如像差、变形、折射率、物理大小或或尺寸等。所述设计布局模型235还可以表示例如在美国专利号7,587,704中所描述的物理图案形成装置的一个或更多个物理性质,所述美国专利的全部内容通过引用并入本文中。与所述光刻投影设备相关联的光学性质(例如所述照射、所述图案形成装置和所述投影光学器件的性质)规定所述空间图像。由于可以改变在所述光刻投影设备中使用的所述图案形成装置,因此期望使所述图案形成装置的光学性质与所述光刻投影设备的至少包括所述照射和所述投影光学器件(因此设计布局模型235)的其余部分的光学性质分开。
可以使用所述抗蚀剂模型237、根据所述空间图像来计算所述抗蚀剂图像,可以在美国专利申请号8,200,468中找到这种方案的示例,所述美国专利的全部内容由此通过引用并入本文中。所述抗蚀剂模型典型地与所述抗蚀剂层的性质(例如,在曝光、曝光后焙烤和/或显影期间发生的化学过程的效应)有关。
全模拟的目的之一是准确地预测例如边缘放置、空间图像强度斜率和/或CD,边缘放置、空间图像强度斜率和/或CD随后可以与所述预期设计进行比较。所述预期设计通常被定义为预OPC设计布局,所述预OPC设计布局可以被提供成标准化数字文件格式(诸如GDS、GDSII、OASIS、或其它文件格式)。
根据所述设计布局,可以识别被称为“片段”的一个或更多个部分。在实施例中,提取片段集合,该片段集合表示设计布局中的复杂图案(通常约为50个至1000个片段,但可以使用任何数目个片段)。如本领域技术人员将了解的,这些图案或片段表示设计的较小部分(例如,电路、单元等),并且特别地,所述片段表示需要特别注意和/或验证的较小部分。换句话说,片段可以是设计布局的部分,或可以是类似的或具有临界特征通过经验而识别(包括由客户提供的片段)、通过试误法来识别或通过执行全芯片模拟来识别的设计布局的部分的类似行为。片段经常包含一个或更多个测试图案或量规图案。可以由客户基于设计布局中要求特定图像优化的已知临界特征区域而先验地提供初始较大的片段集合。替代地,在另一个实施例中,可以通过使用识别关键特征区域的自动化(诸如,机器视觉)或手动算法而从整个设计布局中提取初始较大的片段集合。
例如,所述模拟和建模可以被用于配置图案形成装置图案中的一个或更多个特征(例如,执行光学邻近效应校正)、所述照射的一个或更多个特征(例如,改变所述照射的空间/角强度分布的一个或更多个特性(诸如,改变形状))、和/或所述投影光学器件的一个或更多个特征(例如,数值孔径等)。这样的配置可以通常分别被称为掩模优化、源优化和投影优化。这样的优化可以独自地执行,或以不同组合的方式组合。一个这样的示例是源-掩模优化(SMO),所述源-掩模优化涉及将图案形成装置图案的一个或更多个特征与所述照射的一个或更多个特征一起配置。这些优化技术可以集中于这些片段中的一个或更多个片段。所述优化可以使用本文中描述的所述机器学习模型来预测各种参数(包括图像等)的值。
类似的建模技术可以被应用以优化例如蚀刻过程、和/或其它过程。在一些实施例中,例如,照射模型231、投影光学器件模型232、设计布局模型235、抗蚀剂模型237和/或其它模型可以与蚀刻模型结合使用。例如,来自显影后检查(ADI)模型(例如,作为设计布局模型235、抗蚀剂模型237和/或其它模型中的所有和/或一些而被包含)的输出可以被用于确定ADI轮廓,所述ADI轮廓可以被提供至有效蚀刻偏差(EEB)模型以产生所预测的蚀刻后检查(AEI)轮廓。
在一些实施例中,系统的优化过程可以被表示为成本函数。所述优化过程可以包括找到所述系统的使所述成本函数最小的参数(设计变量、过程变量等)集合。所述成本函数可以依赖于所述优化的目标而具有任何适当的形式。例如,所述成本函数可以是所述系统的某些特性(估计点)相对于这些特性的预期值(例如,理想值)的偏差的加权均方根(RMS)。所述成本函数还可以是这些偏差的最大值(即,最差偏差)。术语“估计点”应被广义地解释为包括所述系统或制备方法的任何特性。由于所述系统和/或方法的实现方式的实用性,所述系统的设计和/或过程变量可以被限制于有限范围和/或是相互依赖的。在光刻投影设备的情况下,所述约束经常与硬件的物理性质和特性(诸如可调谐范围)、和/或图案形成装置可制造性设计规则相关联。例如,所述估计点可以包括衬底上的抗蚀剂图像上的物理点,以及非物理特性(诸如一个或更多个蚀刻参数、剂量和焦距等)。
在蚀刻系统中,作为示例,成本函数(CF)可以被表示为:
Figure BDA0003705052100000161
其中(z1,z2,…,zN)是N个设计变量或N个设计变量的值,并且fp(z1,z2,…,zN)可以是所述设计变量(z1,z2,…,zN)的函数,诸如(z1,z2,…,zN)的设计变量的值的集合的特性的实际值与预期值之间的差。在一些实施例中,wp是与fp(z1,z2,…,zN)相关联的权重常数。例如,所述特性可以是在图像的边缘上的给定点处测量的所述边缘的位置。不同的fp(z1,z2,…,zN)可以具有不同的权重wp。例如,如果特定边缘具有较窄范围的允许位置,则表示所述边缘的实际位置与预期位置之间的差的fp(z1,z2,…,zN)的权重wp可以被赋予较大值。fp(z1,z2,…,zN)还可以是中间层特性的函数,所述中间层特性又是所述设计变量(z1,z2,…,zN)的函数。当然,CF(z1,z2,…,zN)不限于上面的方程式的形式,并且CF(z1,z2,…,zN)可以呈任何其它适合的形成。
所述成本函数可以表示所述蚀刻系统、蚀刻过程、光刻设备、光刻过程或所述衬底的任何一个或更多个合适的特性,例如,焦距、CD、光栅偏移、图像变形、图像转动、随机变化、生产量、局部CD变化、过程窗口、中间层特性、或其组合。在一些实施例中,所述成本函数可以包括表示抗蚀剂图像的一个或更多个特性的函数。例如,fp(z1,z2,…,zN)可以仅仅是在例如蚀刻后、和/或一些其他过程后所述抗蚀剂图像中的点与所述点的预期位置之间的距离(即,边缘放置误差EPEp(z1,z2,…,zN)。所述参数(例如,设计变量)可以包括任何可调整参数,诸如所述蚀刻系统、所述源、所述图案形成装置、所述投影光学器件的可调参数,剂量,焦距等。
所述参数(例如,设计变量)可以具有约束,这些约束可以被表示为(z1,z2,…,zN)∈Z,其中Z是所述设计变量的可能值的集合。对所述设计变量的一个可能约束可以由所述光刻投影设备的期望的生产量来施加。在没有由所述期望的生产量所施加的约束的情况下,所述优化可以产生所述设计变量的不切实际的值的集合。约束不应被解释为必要性。
在一些实施例中,照射模型231,投影光学器件模型232,设计布局模型235,抗蚀剂模型237和蚀刻模型,和/或与集成电路制造过程相关联的和/或被包括在集成电路制造过程中的其它模型可以是执行本文中描述的所述方法的操作中的至少一些操作的经验模型和/或其它模拟模型。所述经验模型可以基于各种输入(例如,图案的一个或更多个特性(诸如曲率)、所述图案形成装置的一个或更多个特性、在所述光刻过程中使用的所述照射的一个或更多个特性(诸如波长)等)之间的相关性来预测输出。
作为示例,所述经验模型可以是机器学习模型和/或任何其它参数化模型。在一些实施例中,所述机器学习模型(例如)可以是和/或包括数学方程式、算法、曲线图、图表、网络(例如,神经网络)和/或其它工具和机器学习模型部件。例如,所述机器学习模型可以是和/或包括一个或更多个神经网络,所述神经网络具有输入层、输出层和一个或更多个中间层或隐蔽层。在一些实施例中,所述一个或更多个神经网络可以是和/或包括深度神经网络(例如,在输入层与输出层之间具有一个或更多个中间层或隐藏层的神经网络)。
作为示例,所述一个或多个神经网络可以基于大量神经单元(或人工神经元)。所述一个或更多个神经网络可以宽松地模拟生物大脑的工作的方式(例如,经由通过轴突所连接的生物神经元的大量簇)。神经网络的每个神经单元可以与所述神经网络的许多其它神经单元连接。这些连接可以加强或抑制它们对所连接的神经单元的激活状态的影响。在一些实施例中,每个单独的神经单元可以具有将其所有输入的值组合在一起的求和函数。在一些实施例中,每个连接(或神经单元本身)可以具有阈值函数,使得信号必须超过阈值,此后才能允许信号传播到其它神经单元。这些神经网络系统可能是自学习和训练后的,而不是显式编程的,并且与传统的计算机程序相比,它们可以在解决问题的某些领域中表现得显著更好。在一些实施例中,所述一个或更多个神经网络可以包括多个层(例如,其中信号路径从前层穿越至后层)。在一些实施例中,可以由所述神经网络利用反向传播技术,其中前向刺激用于重置“前”神经单元上的权重。在一些实施例中,针对所述一个或更多个神经网络的刺激和抑制可以较自由地流动,并且各连接以较混乱和复杂的方式相互作用。在一些实施例中,所述一个或更多个神经网络的所述中间层包括一个或更多个卷积层、一个或更多个递归层、和/或其它层。
可以使用训练信息的集合来训练所述一个或更多个神经网络(即,确定其参数)。所述训练信息可以包括训练样本的集合。每个样本可以是一对,该一对包括输入对象(典型地为向量,该向量可以被称为特征向量)和期望的输出值(也被称为监督信号)。训练算法分析所述训练信息,并且通过基于所述训练信息调整所述神经网络的参数(例如,一个或更多个层的权重)来调整所述神经网络的行为。例如,给定形式{(x1,y1),(x2,y2),...,(xN,yN)}为的N个训练样本的集合,使得xi是第i个示例的特征向量并且yi是其监督信号,训练算法寻找神经网络g:X→Y,其中X是输入空间并且Y是输出空间。特征向量是表示某些对象(例如,所模拟的空间图像、晶片设计、片段等)的数值特征的n维向量。与这些向量相关联的向量空间经常被称为特征空间。在训练之后,所述神经网络可以被用于使用新样本进行预测。
作为另一示例,所述经验(模拟)模型可以包括一个或更多个算法。所述一个或更多个算法可以是和/或包括数学方程式、曲线图、图表和/或其它工具和模型部件。例如,在一些实施例中,本系统和方法包括(或使用)包括一个或更多个多维算法的经验模拟模型。所述一个或更多个多维算法包括表示蚀刻过程的物理参数的一个或更多个非线性函数、线性函数或二次函数。在一些实施例中,所述一个或更多个多维算法包括曲率项,所述曲率项被配置成单独地或与其它算法项共同地将曲率与蚀刻偏差关联。在一些实施例中,包括所述一个或更多个算法的所述经验模拟模型可以被认为是物理蚀刻模型。所述物理蚀刻模型可以是和/或包括有效蚀刻偏差(EEB)模型、与蚀刻偏差模型组合的抗蚀剂模型(例如,抗蚀剂模型237)、和/或其它模型。这在下文中进一步描述。
图3图示出根据本公开的实施例的示例性方法300。在一些实施例中,方法300包括:接收302衬底图案中的轮廓的表示;确定304所述轮廓的曲率;将所述曲率输入306至所述模拟模型;以及基于所述曲率来输出308针对所述衬底图案的蚀刻偏差。在一些实施例中,方法300包括:在成本函数中使用310所述蚀刻偏差来预测衬底(晶片)图案中的蚀刻后特征轮廓,以便于与单独的图案化过程变量相关联的成本、和/或其它操作中的成本的确定。将理解,本公开不限于用于确定或获得轮廓的任何特定方法或算法。
在一些实施例中,非暂时性计算机可读介质存储指令,所述指令当由计算机执行时使所述计算机执行一个或更多个操作302至310和/或其它操作。方法300的这些操作旨在是说明性的。在一些实施例中,方法300可以使用未描述的一个或更多个额外的操作、和/或不使用所论述的操作中的一个或更多个操作来实现。例如,操作310和/或其它操作可以是可选的。另外,在图3中图示出并且在本文中描述的方法300的操作的顺序不旨在是限制性的。
在操作302处,接收衬底图案中的轮廓的表示。所述表示包括所述图案中的所述轮廓和/或其它信息。例如,所述表示可以包括描述所述图案中的所述轮廓的几何形状的信息,和/或与所述几何形状有关的信息。例如,所述图案中的所述轮廓的所述几何形状可以是二维几何形状。所接收的表示包括描述所述轮廓的特性的数据(例如,诸如X-Y尺寸数据点、描述所述几何形状的数学方程式等)、与所述轮廓相关联的处理参数、和/或其它数据。在一些实施例中,所述图案的所述表示包括来自对所述图案的显影后检查(ADI)的检查结果、所述图案中的所述轮廓的模型、和/或其它信息。可以从扫描电子显微镜、光学量测工具和/或其它源来获得来自对所述图案的所述显影后检查的所述检查结果。在一些实施例中,从抗蚀剂模型(例如,如图2中示出且在上文中描述的)、光学模型(例如,如图2中示出且在上文中描述的)和/或其它模型化源来获得所述轮廓。
所述表示可以以电子方式从本系统的一个或更多个其它部分(例如,从不同的处理器、或从单个处理器的不同部分)、从不与本系统相关联的远程计算系统、和/或从其它源来接收。所述表示可以以无线方式和/或通过线、通过便携式储存介质、和/或从其它源来接收。所述表示可以被上传和/或例如从另一源(诸如云存储)下载、和/或以其它方式被接收。
通过非限制性示例,图4图示出模拟模型400可以如何被用于例如基于蚀刻效应(诸如蚀刻偏差404)来预测蚀刻后图案轮廓。如图4中示出的,蚀刻偏差描述在给定部位处的给定的衬底图案特征406的在显影后检查(ADI)轮廓408与蚀刻后检查(AEI)轮廓410之间的尺寸改变。(图案特征406可以经由掩模407的相应部分来产生。)偏差方向412可以垂直于ADI轮廓408,但是本公开不限制于此。模拟模型400基于ADI轮廓408(和/或其它信息)来模拟和/或以其它方式确定针对晶片图案的蚀刻偏差404,以产生AEI轮廓410。更通常地,来自模型400的所述蚀刻偏差可以被用于确定各种图案特征(例如,图案特征406和/或图4中未示出的其它图案特征)的蚀刻后轮廓。
图4还图示出接收414衬底图案中的轮廓(例如,在这个示例中为ADI轮廓408)的表示。如上文描述的,可以从对所述图案的显影后检查(ADI)的检查结果、所述图案中的所述轮廓的模型、和/或任何其它适合的信息来导出所述轮廓(例如,ADI轮廓408)的所述表示。在图4中示出的示例中,从抗蚀剂模型和/或光学模型416来获得415轮廓408。
返回至图3,在操作304处,确定所述衬底图案中的所述轮廓的曲率。所述曲率是(例如,如图4中示出的二维轮廓的)平面内曲率。所述曲率对应于局部蚀刻位置的附近平面内弯曲效应。曲率可以是在给定局部蚀刻位置处的活化能的指示,该活化能影响蚀刻效果。本公开不限于确定所述曲率的任何特定方法、过程、操作或算法。所述曲率可以是基于所述图案中的所述轮廓的斜率、所述图案中的所述轮廓的最大值或最小值、和/或其它信息来确定的。例如,可以基于所述轮廓的一阶导数和/或二阶导数来确定所述斜率、所述最大值和/或所述最小值。在一些实施例中,所述曲率是通过所述二阶导数与所述一阶导数之间的比率、和/或其它数学运算来确定的。应注意,虽然本公开描述了确定单个曲率,但是曲率可以在沿所述轮廓的一个或更多个部位处来确定(并且被输入至所述模拟模型,如下文描述的)。
通过非限制性示例,图5图示出确定衬底(例如,晶片)图案504中的轮廓502中的给定部位501处的曲率500。如图5中示出的,曲率500是(例如,二维轮廓502的)平面内曲率。在一些实施例中,曲率500是基于图案504中的轮廓502的斜率(例如,倾斜或偏斜部分)、图案504中的轮廓502中的最大值或最小值(例如,拐点)、和/或其它信息来确定的。例如,可以基于轮廓502的一阶导数和或二阶导数来确定所述斜率、所述最大值和/或所述最小值。还通过所述二阶导数与所述一阶导数之间的比率来确定曲率500。例如,轮廓502可以通过函数506y=f(x)来描述。使用函数506,曲率500可以基于以下方程式来确定:
Figure BDA0003705052100000211
其中f’是函数506的所述一阶导数并且f″是所述二阶导数。在以上示出的方程式中,函数506的所述二阶导数的绝对值除以函数506的所述一阶导数(由各种常数和指数来修改)(或与所述一阶导数求比率)以确定曲率500。在一些实施例中,使用所述一阶导数、所述二阶导数和/或各种其它常数和方程项的其它组合来确定曲率可以是可能的。这些实施例应被认为在本发明的精神和范围内。
返回至图3,在操作306处,将所述曲率输入至所述模拟模型。输入可以包括将所述曲率以电子方式发送、上传和/或以其它方式提供至所述模拟模型。在一些实施例中,所述模拟模型可以与引起操作302至310中的其它操作的指令集成地编程(例如,使得不需要“输入”,并且作为替代,数据仅仅直接流向所述模拟模型)。所述模拟模型被配置成预测图案轮廓曲率可以具有的对局部蚀刻偏差的影响。所述模拟模型被配置成接收图案轮廓曲率并确定蚀刻偏差。与先前系统形成对比,所述模拟模型包括未包括在先前模型中的平面内曲率项。所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性。例如,所述模型被配置成将所述平面内曲率与局部蚀刻位置的附近平面内弯曲效应关联。
所述模拟模型是物理蚀刻(或蚀刻偏差)模型或半物理蚀刻(或蚀刻偏差)模型。所述物理或半物理蚀刻模型描述蚀刻过程的物理参数,该蚀刻过程取决于算法中的化学/物理/数学原理(例如,其中不同的项用于不同的物理参数)和/或其它形式。所述物理或半物理蚀刻模型被配置成基于ADI轮廓(例如,图4中的轮廓408或图5中的轮廓502)来确定AEI轮廓(例如,参见图4中的模型400和轮廓410)。它具有与各个物理蚀刻效应相对应的各种项。所述物理或半物理蚀刻模型可以是和/或包括有效蚀刻偏差(EEB)模型、与蚀刻偏差模型组合的抗蚀剂模型、和/或其它模型。在一些实施例中,所述模拟模型包括多维算法(或多于一个的多维算法)。所述多维算法包括表示蚀刻过程的参数的一个或更多个非线性函数、线性函数或二次函数。所述模拟模型包括被配置成将所述曲率与所述蚀刻偏差关联的曲率项。例如,所述曲率项可以与所述多维算法中的一个或更多个额外的项组合以确定所述蚀刻偏差。
在一些实施例中,例如,所述模拟模型是校准后的预测模型。所述模拟模型由曲率校准数据和相应的蚀刻偏差校准数据来校准。校准可以包括模型产生、训练、调节和/或其它操作。曲率校准数据和相应的蚀刻偏差校准数据包括已知的和/或以其它方式在先前确定的数据。所述曲率和/或蚀刻偏差校准数据可以被测量、模拟和/或以其它方式确定。在一些实施例中,所述校准数据通过执行全模拟模型(例如,其中全模拟模型可以包括照射模型231、投影光学器件模型232、设计布局模型235、抗蚀剂模型237和/或其它模型中的一个或更多个)来获得。
在一些实施例中,通过将所述曲率校准数据提供至基础(模拟)模型来获得所述蚀刻偏差校准数据的预测值,以及使用所述蚀刻偏差校准数据作为反馈来更新所述基础模型的一个或更多个配置,来校准所述模拟模型。例如,所述模拟模型的所述一个或更多个配置可以基于所述蚀刻偏差校准数据与所述蚀刻偏差校准数据的预测值之间的比较来更新。用于校准所述模拟模型的所述校准数据可以包括输入(例如,已知的曲率)与相应的已知的输出(例如,已知的相应的蚀刻偏差)的对或集合。然后,校准后的模拟模型可以被用于基于新的曲率(例如,对蚀刻偏差)进行预测。
本公开不限于所述模拟模型的任何特定形式或算法。在一些实施例中,所述模拟模型包括上文中描述的所述多维算法。在一些实施例中,校准所述模型包括:通过调节和/或以其它方式调整所述算法的一个或更多个参数来更新所述基础模型的一个或更多个配置。在一些实施例中,调节包括调整一个或更多个模型参数,使得所预测的蚀刻偏差数据较好地匹配,或较好地对应于相应的曲率的已知的蚀刻偏差数据。在一些实施例中,调节包括使用包括新的和/或额外的输入/输出校准数据对的额外的校准信息,对所述模型进行训练或再训练。
在一些实施例中,所述模拟模型(例如,所述多维算法)包括非线性算法、线性算法、二次算法中的一个或更多个,或其组合,但是可以是和/或包括任何适当的任意数学函数。例如,所述函数可以具有幂多项式形式、分段多项式形式、指数形式、高斯形式、S形形式、决策树类型的形式等。这些算法可以包括成任何组合形式的任意数目个参数、权重和/或其它特征,使得所述函数被配置成以数学形式将曲率与蚀刻偏差关联。
在一些实施例中,可以基于上文中描述的校准、基于由用户提供的准确度和运行时性能规格、基于由用户通过包括在本系统中的用户接口进行的人工输入和/或信息的选择、和/或通过其它方法来自动地确定算法的形式(例如,非线性、线性、二次等)、算法的参数、算法中的权重、和/或算法中的其它特征。在一些实施例中,算法的形式(例如,非线性、线性、二次等)、算法的参数、和/或算法的其它特性可以随着衬底的单独的层(例如,随着可能引起和/或影响蚀刻改变的处理参数和/或其它条件)、和/或基于其它信息而改变。例如,不同的模型可以针对在半导体器件制造蚀刻操作期间产生的衬底的不同层来校准。
在操作308处,从所述模拟模型输出蚀刻偏差。所述蚀刻偏差是针对所述图案中的所确定的轮廓。所述蚀刻偏差可以以电子方式被输出至本系统的一个或更多个其它部分(例如,输出至不同的处理器)、不与本系统相关联的远程计算系统、和/或其它部位。所述蚀刻偏差可以以无线方式和/或通过线、通过便携式储存介质、和/或用其它部件来输出。所述蚀刻偏差可以被上传和/或例如下载至另一源(诸如云存储)、和/或以其它方式被输出。
在操作310处,在成本函数中使用所述蚀刻偏差以便于与单独的图案化过程变量和/或指标相关联的成本的确定。与单独的图案化变量相关联的成本被配置成被用于便于所述图案化过程的优化。在一些实施例中,与单独的图案化过程变量相关联的成本被配置成被提供至优化器以便于蚀刻过程、图案化系统(例如,扫描器)、和/或其它半导体制造过程和/或系统的(例如,共同)优化。通常,优化器是找到给定的成本函数的最小值的计算机算法。例如,优化器可以是基于梯度的非线性优化器,该基于梯度的非线性优化器被配置成共同确定多个蚀刻过程变量。优化器可以由一个或更多个处理器形成,所述一个或更多个处理器被配置成相对于与不同指标(例如,临界尺寸、图案放置误差、边缘放置误差、临界尺寸不对称性、与蚀刻过程相关联的缺陷计数、和/或其它指标)相关联的制造能力或成本来平衡不同的可能的过程变量(例如,其自身容许范围内的每个过程变量)。
图6图示出由本系统、模型和/或制造过程提供的相对于先前的系统、模型和/或制造过程的改善的示例性量化。图6图示出对于DUV600和EUV602应用,如果曲率被用于确定如上文描述的蚀刻偏差,则图案RMS(均方根——用作表面粗糙度的量度)是如何降低的。试验结果示出对于DUV600应用降低12.8%,并且对于EUV 602应用降低21.3%。
图7是可以被用于本文中描述的操作中的一个或更多个操作的示例性计算机系统CS的示图。计算机系统CS包括用于通信信息的总线BS或其它通信机构、和与总线BS联接以用于处理信息的处理器PRO(或多个处理器)。计算机系统CS还包括主存储器MM(诸如随机存取存储器(RAM)或其它动态存储器),所述主存储器被联接至总线BS以用于储存信息和将要由处理器PRO执行的指令。主存储器MM还可以用于在由处理器PRO进行的指令的执行期间储存临时变量或其它中间信息。计算机系统CS还包括联接至总线BS以用于储存用于处理器PRO的静态信息和指令的只读存储器(ROM)ROM或其它静态储存装置。设置诸如磁盘或光盘之类的储存装置SD,并且将所述储存装置联接至总线BS以用于储存信息和指令。
计算机系统CS可以经由总线BS联接至用于向计算机用户显示信息的显示器DS,诸如阴极射线管(CRT)或平板显示器或触控面板显示器。包括字母数字键和其它键的输入装置ID被联接至总线BS以用于将信息和命令选择通信至处理器PRO。另一类型的用户输入装置是光标控制器CC(诸如鼠标、轨迹球或光标方向键),以用于将方向信息和命令选择通信至处理器PRO且用于控制显示器DS上的光标移动。这种输入装置典型地具有在两个轴(第一轴(例如x)和第二轴(例如y))上的两个自由度,这允许所述装置指定平面中的位置。触摸面板(屏)显示器也可以用作输入装置。
在一些实施例中,本文中所描述的一种或更多种方法的部分可以由计算机系统CS响应于用于执行包含在主存储器MM中的一个或更多的指令的一个或更多的序列的处理器PRO而被执行。这样的指令可以从另一计算机可读介质(诸如储存装置SD)被读取到主存储器MM中。被包括在主存储器MM中的指令序列的执行使处理器PRO执行本文描述的过程步骤(操作)。在多处理布置中的一个或更多个处理器也可以用于执行包含在主存储器MM中的指令序列。在一些实施例中,硬接线电路可以用于替代软件指令或与软件指令结合。因此,本文中的描述不限于硬件电路和软件的任何特定的组合。
本文中使用的术语“计算机可读介质”是指参与向处理器PRO提供指令以供执行的任何介质。这样的介质可以采用许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如储存装置SD。易失性介质包括动态存储器,诸如主存储器MM。传输介质包括同轴电缆、铜线和光纤,包括包含总线BS的电线。传输介质还可以采用声波或光波的形式,诸如在射频(RF)和红外(IR)数据通信期间产生的声波或光波。计算机可读介质可以是非暂时性的,例如软盘、软磁盘、硬盘、磁带、任何其它磁介质、CD-ROM、DVD、任何其它光学介质、穿孔卡、纸带、任何其它具有孔图案的物理介质、RAM、PROM、和EPROM、FLASH-EPROM、任何其它存储芯片或盒式存储器。非暂时性计算机可读介质可以具有记录在其上的指令。所述指令在由计算机执行时实施上文中描述的操作中的任一操作。例如,暂时性计算机可读介质可以包括载波或其它传播电磁信号。
各种形式的计算机可读介质可以涉及将一个或更多个指令的一个或更多个序列承载到处理器PRO以供执行。例如,指令最初可以承载在远程计算机的磁盘上。所述远程计算机可以将指令加载到其动态存储器中,并且使用调制解调器通过电话线发送指令。计算机系统CS本地的调制解调器可以在电话线上接收数据并且使用红外发射器将数据转换成红外信号。联接至总线BS的红外探测器可以接收红外信号中承载的数据并且将数据放置在总线BS上。总线BS将数据承载到主存储器MM,处理器PRO从所述主存储器获取并且执行指令。由主存储器MM接收的指令可以可选地在由处理器PRO执行之前或之后被储存在储存装置SD上。
计算机系统CS还可以包括被联接至总线BS的通信接口CI。通信接口CI提供联接至网络链路NDL的双向数据通信,所述网络链路被连接到局域网LAN。例如,通信接口CI可以是用于提供与相应类型的电话线的数据通信连接的综合业务数字网(ISDN)卡或调制解调器。作为另一示例,通信接口CI可以是用于提供至兼容LAN的数据通信连接的局域网(LAN)卡。还可以实施无线链路。在任何这样的实现方式中,通信接口CI发送和接收携带表示各种类型的信息的数字数据流的电信号、电磁信号或光学信号。
网络链路NDL典型地通过一个或更多个网络提供到其它数据装置的数据通信。例如,网络链路NDL可以提供经由局域网LAN至主机HC的连接。这可以包括通过现在通常被称为“因特网”INT的全球分组数据通信网络提供的数据通信服务。局域网LAN(因特网)可以使用承载数字数据流的电信号、电磁信号或光学信号。通过各种网络的信号和在网络数据链路NDL上并且通过通信接口CI的信号(通信接口CI将数字数据承载到计算机系统CS和从计算机系统CS承载数字数据)是传输所述信息的载波的示例性形式。
计算机系统CS可以通过一个或更多个网络、网络数据链路NDL和通信接口CI发送消息和接收包括程序代码的数据。在因特网的示例中,主机HC可以通过因特网INT、网络数据链路NDL、局域网LAN和通信接口CI传输用于应用程序的请求代码。例如,一个这样的下载的应用可以提供本文中描述方法的全部或部分。所接收的代码可以在其被接收时由处理器PRO执行,和/或被储存在储存装置SD或其它非易失性储存器中以供稍后执行。以这种方式,计算机系统CS可以获取呈载波的形式的应用代码。
图8是根据实施例的光刻投影设备的示意图。所述光刻投影设备可以包括照射系统IL、第一载物台MT、第二载物台WT和投影系统PS。照射系统IL可以调节辐射束B。在这个示例中,所述照射系统还包括辐射源SO。第一载物台(例如,图案形成装置台)MT可以设置有用于保持图案形成装置MA(例如,掩模版)的图案形成装置保持器,并且被连接至第一定位器以相对于物品PS准确地定位所述图案形成装置。第二载物台(例如,衬底台)WT可以设置有用于保持衬底W(例如,涂覆有抗蚀剂的硅晶片)的衬底保持器,并且被连接至第二定位器以相对于物品PS准确地定位所述衬底。投影系统(例如,该投影系统包括透镜)PS(例如,折射型、反射型或反射折射型光学系统)可以将所述图案形成装置MA的被辐射的部分成像到所述衬底W的目标部分C(例如,包括一个或更多个管芯)上。例如,可以使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA和衬底W。
如所描绘的,所述设备可以属于透射类型(即,具有透射型图案形成装置)。然而,通常,它也可以是反射型(即,采用反射型图案形成装置)。所述设备可以采用与经典掩模不同种类的图案形成装置;示例包括可编程反射镜阵列或LCD矩阵。
所述源SO(例如,汞灯或准分子激光、LPP(激光产生的等离子体)EUV源)产生辐射束。例如,这种束被直接地或在已横穿调节装置(诸如扩束器)、或束传递系统BD(包括定向反射镜、所述扩束器等)之后被进给至照射系统(照射器)IL中。所述照射器IL可以包括调整装置AD,所述调整装置用于设置所述束中的强度分布的外部径向范围和/或内部径向范围(通常分别被称为σ-外部和σ-内部)。另外,所述照射器IL通常会包括各种其它部件,诸如积分器IN和聚光器CO。以这种方式,射到所述图案形成装置MA上的所述束B在其横截面中具有期望的均一性和强度分布。
在一些实施例中,源SO可以位于所述光刻投影设备的壳体内(例如,当源SO是汞灯时的情况时,通常是这种情况),但是源SO也可以远离光刻投影设备。例如,源SO产生的辐射束可以被引导到所述设备中(例如,借助于合适的定向反射镜)。例如,这后一种情形可以是当源SO为准分子激光器(基于KrF、ArF或F2激光作用)时的情况。
随后所述束B可以由被保持在图案形成装置台MT上的图案形成装置MA截断。在已横穿图案形成装置MA的情况下,所述辐射束B可以穿过所述透镜PL,所述透镜将束B聚焦到所述衬底W的目标部分C上。借助于所述第二定位装置(和干涉量测测量装置IF),可以准确地移动所述衬底台WT,例如,以将不同的目标部分C定位在束B的路径中。类似地,例如在从图案形成装置库机械获取所述图案形成装置MA之后,或在扫描期间,所述第一定位装置可以被用于相对于辐射束B的路径准确地定位图案形成装置MA。通常,所述载物台MT、WT的移动可以借助于长行程模块(粗定位)和短行程模块(精定位)来实现。然而,在步进器(与步进扫描工具对照)的情况下,图案形成装置台MT可以被连接至短行程致动器,或者可以是固定的。
所描绘的工具可以被用于两种不同模式,即步进模式和扫描模式。在步进模式中,图案形成装置台MT被保持为基本静止,并且整个图案形成装置图像在一个操作中(即,单次“闪光”)被投影到目标部分C上。衬底台WT可以沿x方向和/或y方向偏移,使得不同的目标部分C可以被束B辐照。在扫描模式下,除了给定的目标部分C不在单次“闪光”中曝光以外,实质上相同的情形是适用的。替代地,图案形成装置台MT在给定方向(所谓的“扫描方向”,或y方向)上能够以速度v可移动,使得投影束B被引起在整个图案形成装置图像上进行扫描。同时,衬底台WT以速度V=Mv在相同或相反方向上同时地移动,其中,M是透镜的放大率(典型地,M=1/4或=1/5)。这样,可以在不必折衷分辨率的情况下曝光相对较大的目标部分C。
图9是可以被用于和/或便于本文中描述的操作中的一个或更多个操作的另一光刻投影设备(LPA)的示意图。LPA可以包括源收集器模块SO、照射系统(照射器)IL、支撑结构MT、衬底台WT和投影系统PS,所述照射系统被配置成调节辐射束B(例如,EUV辐射)。支撑结构(例如,图案形成装置台)MT可以被构造成支撑图案形成装置(例如,掩模或掩模版)MA,并被连接至第一定位器PM,所述第一定位器被配置成准确地定位所述图案形成装置。所述衬底台(例如,晶片台)WT被构造成保持衬底(例如,涂覆有抗蚀剂的晶片)W,并被连接至第二定位器PW,所述第二定位器被配置成准确地定位所述衬底。投影系统(例如,反射型投影系统)PS可以被配置成将由图案形成装置MA赋予至所述辐射束B的图案投影到所述衬底W的目标部分C(例如,包括一个或更多个管芯)上。
如在这个示例中示出的,LPA可以属于反射型(例如,采用反射型图案形成装置)。应注意,因为大多数材料在EUV波长范围内是吸收性的,所以所述图案形成装置可以具有包括例如钼和硅的多个叠层的多层反射器。在一个示例中,多叠层反射器具有钼和硅的40个层对,其中,每个层的厚度为四分之一波长。可以利用X射线光刻术可以产生甚至更小的波长。由于大多数材料在EUV和x射线波长下是吸收性的,所以图案形成装置的形貌上的图案化的吸收材料的薄片(例如,多层反射器的顶部上的TaN吸收体)限定特征将印制(正性抗蚀剂)或不印制(负性抗蚀剂)的区域。
照射器IL可以接收来自源收集器模块SO的极紫外线辐射束。用于产生EUV辐射的方法包括但不必限于将材料转换为等离子态,等离子态在EUV范围内具有一个或更多个发射线的至少一种元素(例如,氙、锂或锡)。在经常被称为激光产生等离子体(“LPP”)的一种这样的方法中,可以通过用激光束辐照燃料来产生所述等离子体,所述燃料诸如具有线发射元素的材料的液滴、流或簇。源收集器模块SO可以是EUV辐射系统的包括用于提供激发所述燃料的激光束的激光器(图9中未示出)的一部分。所得到的等离子体发射使用辐射收集器收集的输出辐射,例如EUV辐射,所述辐射收集器被设置在所述源收集器模块中。例如当使用CO2激光器提供用于燃料激发的激光束时,所述激光器和所述源收集器模块可以是分立的实体。在这个示例中,可以不认为所述激光器构成所述光刻设备的一部分,并且所述辐射束可以借助于包括例如合适的定向反射镜和/或扩束器的束传递系统而从所述激光器传递至所述源收集器模块。在其它示例中,例如当所述源是放电产生等离子体EUV产生器(经常被称为DPP源)时,所述源可以是所述源收集器模块的组成部分。
照射器IL可以包括用于调整所述辐射束PB的角强度分布的调整器。通常,可以调整所述照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别被称为σ-外部和σ-内部)。此外,所述照射器IL可以包括各种其它部件,诸如琢面场反射镜装置和琢面光瞳反射镜装置。可以将所述照射器用于调整所述辐射束,以便在其横截面中具有期望的均一性和强度分布。
所述辐射束B可以被入射到所述图案形成装置(例如,掩模)MA上并被所述图案形成装置图案化,所述图案形成装置被保持在所述支撑结构(例如,图案形成装置台)MT上。在已从所述图案形成装置(例如,掩模)MA反射之后,所述辐射束B穿过所述投影系统PS,所述投影系统将所述束聚焦至所述衬底W的目标部分C上。借助于所述第二定位器PW和位置传感器PS2(例如干涉仪装置、线性编码器或电容式传感器),可以准确地移动衬底台WT(例如以将不同的目标部分C定位在辐射束B的路径中)。类似地,所述第一定位器PM和另一位置传感器PS1可以被用于相对于所述辐射束B的路径准确地定位所述图案形成装置(例如,掩模)MA。可以通过使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如,掩模)MA和衬底W。
所描绘的设备LPA可以被用于以下模式中的至少一种,即步进模式、扫描模式和静止模式。在步进模式中,所述支撑结构(例如,图案形成装置台)MT和所述衬底台WT被保持为基本静止,同时将被赋予至所述辐射束的整个图案被一次性投影到目标部分C上(例如,单次静态曝光)。然后将所述衬底台WT沿X和/或Y方向移动,使得可以对不同的目标部分C曝光。在扫描模式中,在同步地扫描所述支撑结构(例如,图案形成装置台)MT和所述衬底台WT的同时,将被赋予至所述辐射束B的图案投影到目标部分C上(即单次动态曝光)。所述衬底台WT相对于所述支撑结构(例如,图案形成装置台)MT的速度和方向可以通过所述投影系统PS的(缩小)放大率和图像反转特性来确定。在静止模式中,所述支撑结构(例如,图案形成装置台)MT被保持成基本静止,所述支撑结构保持可编程图案形成装置,并且所述衬底台WT被移动或扫描,同时将被赋予至所述辐射束的图案投影到目标部分C上。在这种模式中,通常采用脉冲辐射源,并且在所述衬底台WT的每次移动之后或在扫描期间的连续辐射脉冲之间根据需要来更新所述可编程图案形成装置。这种操作模式可以易于被应用于利用可编程图案形成装置(诸如,如上文提到的类型的可编程反射镜阵列)的无掩模光刻术中。
图10是在图9中示出的所述光刻投影设备的详细视图。如图10中示出的,LPA可以包括所述源收集器模块SO、所述照射系统IL和所述投影系统PS。所述源收集器模块SO被配置成使得真空环境可以被维持在所述源收集器模块SO的围封结构220中。发射EUV辐射的等离子体210可以由放电产生等离子体源形成。EUV辐射可以通过气体或蒸汽产生,气体或蒸汽例如是氙气、锂蒸汽或锡蒸汽,其中产生热等离子体210以发射在电磁光谱的EUV范围内的辐射。例如,通过引起至少部分电离的等离子体的放电而产生所述热等离子体210。可以需要例如分压为10Pa的Xe、Li、Sn蒸汽或任何其它合适的气体或蒸汽以用于有效产生辐射。在一些实施例中,提供被激发的锡(Sn)的等离子体以产生EUV辐射。
由热等离子体210发射的辐射从源腔室21l经由定位在源腔室21l中的开口中或所述开口后方的可选的气体阻挡部或污染物陷阱230(在一些情况下,也被称为污染物阻挡部或翼片阱)而被传递到收集器腔室212中。所述污染物陷阱230可以包括通道结构。污染物陷阱230也可以包括气体阻挡部,或气体阻挡部与通道结构的组合。所述污染物陷阱或污染物阻挡陷阱230(如下文描述)还包括通道结构。所述收集器腔室211可以包括可以是掠入射收集器的辐射收集器CO。辐射收集器CO具有上游辐射收集器侧251和下游辐射收集器侧252。横穿收集器CO的辐射可以被反射离开光栅光谱滤光片240,以沿由线“O”所指示的光学轴线被聚焦于虚源点IF处。所述虚源点IF通常被称为中间焦点,并且所述源收集器模块被布置成使得所述中间焦点IF位于所述围封结构220中的开口221处或附近。所述虚源点T是发射辐射的等离子体210的图像。
随后,所述辐射横穿所述照射系统IL,所述照射系统IL可以包括琢面场反射镜装置22和琢面光瞳反射镜装置24,所述琢面场反射镜装置22和琢面光瞳反射镜装置24被布置成提供辐射束21的在图案形成装置MA处的期望的角分布,以及辐射强度的在所述图案形成装置MA处的期望的均一性。当所述辐射束21在由所述支撑结构MT保持的所述图案形成装置MA处被反射时,形成被图案化的束26,并且所述被图案化的束26通过所述投影系统PS经由反射型元件28、30而被成像到由所述衬底台WT保持的衬底W上。在照射光学器件单元IL和投影系统PS中通常可以存在比示出的元件更多的元件。例如,所述光栅光谱滤光片240可以可选地存在,这依赖于光刻设备的类型。另外,可以存在比图中示出的反射镜更多的反射镜,例如在所述投影系统PS中可以存在除图10中示出的反射型元件以外的1至6个额外的反射型元件。
收集器光学器件CO(如图10中图示的)被描绘为具有掠入射反射器253、254和255的嵌套式收集器,该嵌套式收集器仅作为收集器(或收集器反射镜)的示例。所述掠入射反射器253、254和255围绕所述光学轴线O被轴向对称地设置,并且这种类型的收集器光学器件CO可以与放电产生的等离子体源(经常被称为DPP源)结合使用。
图11是所述光刻投影设备LPA(在先前的图中示出)的源收集器模块SO的详细视图。源收集器模块SO可以是LPA辐射系统的一部分。激光器LA可以被布置成将激光能量沉积到燃料中,诸如氙(Xe)、锡(Sn)或锂(Li),从而产生具有几十eV的电子温度的高度离子化的等离子体210。在这些离子的去激发和复合期间产生的高能辐射由所述等离子体发射,被近正入射收集器光学器件CO收集,并被聚焦到所述围封结构220中的所述开口221上。
在被编号的方面的随后的目录中公开了另外的实施例:
1.一种非暂时性计算机可读介质,在所述非暂时性计算机可读介质上具有指令,所述指令在由计算机执行时使所述计算机:
接收衬底图案的轮廓的表示;
确定所述轮廓的曲率;以及
使用模拟模型,以基于所述曲率来确定针对所述衬底图案的蚀刻效应,其中所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性。
2.根据方面1所述的介质,其中所述蚀刻效应是蚀刻偏差,并且其中基于(1)所述轮廓的斜率和(2)所述轮廓中的最大值或最小值来确定所述曲率。
3.根据方面1所述的介质,其中所述曲率是基于所述轮廓的一阶导数来确定的。
4.根据方面1所述的介质,其中所述曲率是基于所述轮廓的二阶导数来确定的。
5.根据方面1所述的介质,其中基于所述轮廓的一阶导数和二阶导数来确定所述曲率。
6.根据方面5所述的介质,其中通过所述二阶导数与所述一阶导数之间的比率来确定所述曲率。
7.根据方面1至6中的任一项所述的介质,其中所述模拟模型包括多维算法。
8.根据方面7所述的介质,其中所述多维算法包括表示蚀刻过程的参数的一个或更多个非线性函数、线性函数或二次函数。
9.根据方面8所述的方法,其中所述模拟模型包括物理蚀刻模型或半物理蚀刻模型。
10.根据方面8所述的介质,其中所述模拟模型是蚀刻模型。
11.根据方面10所述的介质,其中所述蚀刻模型包括包含曲率项的多维算法,所述曲率项被配置成将所述曲率与所述蚀刻偏差关联。
12.根据方面1至11中的任一项所述的介质,其中所述轮廓是从所述衬底图案的来自对所述衬底图案的显影后检查的表示获得的。
13.根据方面1至11中的任一项所述的介质,其中所述轮廓是从抗蚀剂模型获得的。
14.根据方面1至11中的任一项所述的介质,其中所述轮廓是从光学模型获得的。
15.根据方面1至14中的任一项所述的介质,其中所述蚀刻效应包括蚀刻偏差,并且所述蚀刻偏差被配置成被提供至成本函数以便于确定与单独的图案化过程变量相关联的成本。
16.一种用于确定针对衬底图案的蚀刻效应的方法,所述方法包括:
接收所述衬底图案的轮廓的表示;
确定所述轮廓的曲率;以及
使用模拟模型,以基于所述曲率来确定针对所述衬底图案的所述蚀刻效应,其中所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性。
17.根据方面16所述的方法,其中所述蚀刻效应是蚀刻偏差,并且其中基于(1)所述轮廓的斜率和(2)所述轮廓中的最大值或最小值来确定所述曲率。
18.根据方面16所述的方法,其中所述曲率是基于所述轮廓的一阶导数来确定的。
19.根据方面16所述的方法,其中所述曲率是基于所述轮廓的二阶导数来确定的。
20.根据方面16所述的方法,其中基于所述轮廓的一阶导数和二阶导数来确定所述曲率。
21.根据方面20所述的方法,其中通过所述二阶导数与所述一阶导数之间的比率来确定所述曲率。
22.根据方面16至21中的任一项所述的方法,其中所述模拟模型包括多维算法。
23.根据方面22所述的方法,其中所述多维算法包括表示蚀刻过程的参数的一个或更多个非线性函数、线性函数或二次函数。
24.根据方面22所述的方法,其中所述模拟模型包括物理蚀刻模型或半物理蚀刻模型。
25.根据方面22所述的介质,其中所述模拟模型是蚀刻模型。
26.根据方面25所述的方法,其中所述蚀刻模型包括包含曲率项的多维算法,所述曲率项被配置成将所述曲率与所述蚀刻偏差关联。
27.根据方面16至26中的任一项所述的方法,其中所述轮廓是从所述衬底图案的来自对所述衬底图案的显影后检查的表示获得的。
28.根据方面16至26中的任一项所述的方法,其中所述轮廓是从抗蚀剂模型获得的。
29.根据方面16至26中的任一项所述的方法,其中所述轮廓是从光学模型获得的。
30.根据方面16至29中的任一项所述的方法,其中所述蚀刻效应是蚀刻偏差,并且所述蚀刻偏差被配置成被提供至成本函数以便于确定与单独的图案化过程变量相关联的成本。
31.一种用于确定针对衬底图案的蚀刻效应的系统,所述系统包括一个或更多个硬件处理器,所述一个或更多个硬件处理器由机器可读指令配置以:
接收所述衬底图案的轮廓的表示;
确定所述轮廓的曲率;以及
使用模拟模型,以基于所述曲率来确定针对所述衬底图案的所述蚀刻效应,其中所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性。
32.根据方面31所述的系统,其中所述蚀刻效应是蚀刻偏差,并且其中基于(1)所述轮廓的斜率和(2)所述轮廓中的最大值或最小值来确定所述曲率。
33.根据方面31所述的系统,其中所述曲率是基于所述轮廓的一阶导数来确定的。
34.根据方面31所述的系统,其中所述曲率是基于所述轮廓的二阶导数来确定的。
35.根据方面31所述的系统,其中基于所述轮廓的一阶导数和二阶导数来确定所述曲率。
36.根据方面35所述的系统,其中通过所述二阶导数与所述一阶导数之间的比率来确定所述曲率。
37.根据方面31至36中的任一项所述的系统,其中所述模拟模型包括多维算法。
38.根据方面37所述的系统,其中所述多维算法包括表示蚀刻过程的参数的一个或更多个非线性函数、线性函数或二次函数。
39.根据方面38所述的系统,其中所述模拟模型包括物理蚀刻模型或半物理蚀刻模型。
40.根据方面37所述的系统,其中所述模拟模型是蚀刻模型。
41.根据方面40所述的系统,其中所述蚀刻模型包括包含曲率项的多维算法,所述曲率项被配置成将所述曲率与所述蚀刻偏差关联。
42.根据方面31至41中的任一项所述的方法,其中所述轮廓是从所述衬底图案的来自对所述衬底图案的显影后检查的表示获得的。
43.根据方面31至41中的任一项所述的系统,其中所述轮廓是从抗蚀剂模型获得的。
44.根据方面31至41中的任一项所述的系统,其中所述轮廓是从光学模型获得的。
45.根据方面31至44中的任一项所述的系统,其中所述蚀刻效应是蚀刻偏差,并且所述蚀刻偏差被配置成被提供至成本函数以便于确定与单独的图案化过程变量相关联的成本。
46.一种非暂时性计算机可读介质,在所述非暂时性计算机可读介质上具有指令,所述指令在由计算机执行时使所述计算机执行用于确定针对衬底上的图案的蚀刻偏差的模拟模型,所述蚀刻偏差基于所述图案中的轮廓的曲率来确定,所述蚀刻偏差被配置成被用于相对于先前的图案化过程提高图案化过程的准确度,所述指令引起包括以下各项的操作:
接收所述图案的表示,其中所述表示包括所述图案中的轮廓;
确定所述图案的所述轮廓的所述曲率;
将所述曲率输入至所述模拟模型,其中所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性;以及
基于所述模拟模型,输出针对所述图案中的所述轮廓的所述蚀刻偏差,其中来自所述模拟模型的所述蚀刻偏差被配置成在成本函数中使用以便于与单独的图案化过程变量相关联的成本的确定,并且其中与单独的图案化变量相关联的成本被配置成被用于便于所述图案化过程的优化。
47.根据方面46所述的介质,其中所述模拟模型是蚀刻模型。
48.根据方面46或47所述的介质,其中所述图案的所述表示包括(1)来自对所述图案的显影后检查的检查结果;或(2)所述图案中的所述轮廓的模型。
49.根据方面46或47所述的介质,其中所述图案的所述表示包括来自对所述图案的显影后检查的检查结果,并且其中来自对所述图案的所述显影后检查的所述检查结果是从扫描电子显微镜或光学量测工具获得的。
50.根据方面46至49中的任一项所述的介质,其中所述曲率是基于(1)所述图案中的所述轮廓的斜率和(2)所述图案中的所述轮廓中的最大值或最小值来确定的。
51.一种非暂时性计算机可读介质,在所述非暂时性计算机可读介质上具有指令,所述指令在由计算机执行时使所述计算机执行用于确定针对衬底上的图案的蚀刻偏差的模拟模型,所述蚀刻偏差基于所述图案中的轮廓的曲率来确定,所述蚀刻偏差被配置成被用于提高图案化过程相对于先前的图案化过程的准确度,所述指令引起包括以下各项的操作:接收所述图案的表示,其中所述表示包括所述图案中的轮廓;确定所述图案的所述轮廓的所述曲率;将所述曲率输入至所述模拟模型,其中所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性;以及基于所述模拟模型,输出针对所述图案中的所述轮廓的所述蚀刻偏差,其中来自所述模拟模型的所述蚀刻偏差被配置成在成本函数中使用以便于与单独的图案化过程变量相关联的成本的确定,并且其中与单独的图案化变量相关联的成本被配置成被用于便于所述图案化过程的优化。
52.根据方面51所述的介质,其中所述模拟模型是蚀刻模型。
53.根据前述方面中的任一项所述的介质,其中所述图案的所述表示包括(1)来自对所述图案的显影后检查的检查结果;或(2)所述图案中的所述轮廓的模型。
54.根据方面51至53中的任一项所述的介质,其中所述图案的所述表示包括来自对所述图案的显影后检查的检查结果,并且其中来自对所述图案的所述显影后检查的所述检查结果是从扫描电子显微镜或光学量测工具获得的。
55.根据方面51至54中的任一项所述的介质,其中所述曲率是基于(1)所述图案中的所述轮廓的斜率和(2)所述图案中的所述轮廓中的最大值或最小值来确定的。
本文中所公开的构思可以对针对子波长特征的任何通用成像、蚀刻、抛光、检查等系统进行模拟或数学建模,并且可以对能够产生越来越短的波长的新兴成像技术是有用的。新兴技术包括能够通过使用ArF激光器产生193nm的波长并且甚至能够通过使用氟激光器产生157nm的波长的EUV(极紫外)、DUV光刻术。此外,EUV光刻术能够通过使用同步加速器或通过运用高能电子来撞击材料(固体或等离子体)来产生在20nm至50nm的范围内的波长以产生在所述范围内的光子。
虽然本文公开的构思可以被用于用衬底(诸如硅晶片)进行的制造,但是应理解,所公开的构思可以与任何类型的制造系统(例如用于在除了硅晶片以外的衬底上制造的制造系统)一起使用。
另外,所公开的元件或元素的组合和子组合可以包括分立的实施例。例如,蚀刻模拟模型和本文中描述的其它模型中的一个或更多个模型可以被包括在分立的实施例中,或它们可以被一起包括在同一实施例中。
上文的描述旨在是示例性的而非限制性的。因此,本领域的技术人员将明白,在不背离下文所阐述的权利要求书的范围的情况下,可以如所描述地进行修改。

Claims (15)

1.一种方法,包括:
接收衬底图案的轮廓的表示;
确定所述轮廓的曲率;以及
使用模拟模型,以基于所述曲率来确定针对所述衬底图案的蚀刻效应,其中,所述模拟模型包括蚀刻偏差与轮廓的曲率之间的相关性。
2.根据权利要求1所述的方法,其中,所述蚀刻效应是蚀刻偏差。
3.根据权利要求1所述的方法,其中,基于(1)所述轮廓的斜率和(2)所述轮廓中的最大值或最小值来确定所述曲率。
4.根据权利要求1所述的方法,其中,基于所述轮廓的一阶导数或二阶导数来确定所述曲率。
5.根据权利要求1所述的方法,其中,基于所述轮廓的一阶导数和二阶导数来确定所述曲率。
6.根据权利要求5所述的方法,其中,通过所述二阶导数与所述一阶导数之间的比率来确定所述曲率。
7.根据权利要求1所述的方法,其中,所述模拟模型包括多维算法。
8.根据权利要求7所述的方法,其中,所述多维算法包括表示刻蚀过程的参数的一个或更多个非线性函数、线性函数或二次函数。
9.根据权利要求8所述的方法,其中,所述模拟模型包括物理蚀刻模型或半物理蚀刻模型。
10.根据权利要求9所述的方法,其中,所述蚀刻模型包括包含曲率项的多维算法,所述曲率项被配置成将所述曲率与所述蚀刻偏差关联。
11.根据权利要求1所述的方法,其中,所述轮廓是从所述衬底图案的来自对所述衬底图案的显影后检查的表示获得的。
12.根据权利要求1所述的方法,其中,所述轮廓是从抗蚀剂模型或光学模型获得的。
13.根据权利要求1所述的方法,其中,所述蚀刻效应包括蚀刻后轮廓与显影后轮廓之间的蚀刻偏差,并且所述蚀刻偏差被配置成被提供至成本函数以便于确定与单独的图案化过程变量相关联的成本。
14.一种非暂时性计算机可读介质,在所述非暂时性计算机可读介质上具有指令,所述指令在由计算机执行时使计算机执行根据权利要求1至13中的任一项所述的方法。
15.一种用于确定针对衬底图案的蚀刻效应的系统,所述系统包括一个或更多个硬件处理器,所述一个或更多个硬件处理器由非暂时性机器可读指令配置以执行根据权利要求1至13中的任一项所述的方法。
CN202210707850.XA 2021-06-23 2022-06-21 蚀刻系统、模型和制造过程 Pending CN115513079A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN2021101783 2021-06-23
CNPCT/CN2021/101783 2021-06-23

Publications (1)

Publication Number Publication Date
CN115513079A true CN115513079A (zh) 2022-12-23

Family

ID=82218473

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210707850.XA Pending CN115513079A (zh) 2021-06-23 2022-06-21 蚀刻系统、模型和制造过程

Country Status (3)

Country Link
CN (1) CN115513079A (zh)
TW (1) TW202307722A (zh)
WO (1) WO2022268434A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116051550B (zh) * 2023-03-29 2023-07-04 长鑫存储技术有限公司 图案检测方法及图案检测系统

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP4075966B2 (ja) 1996-03-06 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 差分干渉計システム及びこのシステムを具えたリソグラフステップアンドスキャン装置
JP4806020B2 (ja) 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN101305320B (zh) 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US20180284597A1 (en) * 2017-03-31 2018-10-04 Globalfoundries Inc. Etch kernel definition for etch modeling
KR20220034900A (ko) * 2019-08-20 2022-03-18 에이에스엠엘 네델란즈 비.브이. 이미지 내 구조물의 공정 기반 윤곽 정보 개선 방법

Also Published As

Publication number Publication date
TW202307722A (zh) 2023-02-16
WO2022268434A1 (en) 2022-12-29

Similar Documents

Publication Publication Date Title
TWI624765B (zh) 用以改良微影程序之電腦實施方法及電腦程式產品
TW201837759A (zh) 藉由機器學習來判定製程模型之方法
CN112384860A (zh) 基于机器学习的逆光学邻近效应校正和过程模型校准
CN114096917B (zh) 用于减小模型预测不确定性的模型校准的预测数据选择
KR102440220B1 (ko) 패터닝 공정을 위한 최적화의 흐름
CN111433680B (zh) 用于预测层变形的系统和方法
WO2018206275A1 (en) Methods for evaluating resist development
CN111492317B (zh) 用于减少抗蚀剂模型预测误差的系统和方法
CN115513079A (zh) 蚀刻系统、模型和制造过程
TWI786658B (zh) 像差影響系統、模型、及製造程序
EP3531206A1 (en) Systems and methods for improving resist model predictions
KR20190117709A (ko) 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
TWI838957B (zh) 用於判定基於蝕刻偏差方向之蝕刻功效之非暫時性電腦可讀媒體
KR20190108609A (ko) 공정 모델들을 조정하는 방법들
CN118265950A (zh) 模拟模型稳定性确定方法
CN118401897A (zh) 热控制系统、模型、和光刻中的制造过程
WO2023084063A1 (en) Generating augmented data to train machine learning models to preserve physical trends
CN115903394A (zh) 图案选择系统和方法
CN118235094A (zh) 生成用于训练机器学习模型以保持物理趋势的增强数据
CN118475876A (zh) 用于确定恒定宽度亚分辨率辅助特征的方法、软件和系统

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination