CN115496030A - 可处理电学和几何约束的模拟电路布线自动化方法及系统 - Google Patents

可处理电学和几何约束的模拟电路布线自动化方法及系统 Download PDF

Info

Publication number
CN115496030A
CN115496030A CN202211422995.1A CN202211422995A CN115496030A CN 115496030 A CN115496030 A CN 115496030A CN 202211422995 A CN202211422995 A CN 202211422995A CN 115496030 A CN115496030 A CN 115496030A
Authority
CN
China
Prior art keywords
wiring
analog circuit
constraint
wire
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202211422995.1A
Other languages
English (en)
Other versions
CN115496030B (zh
Inventor
林亦波
高笑涵
张昊懿
王润声
黄如
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Peking University
Original Assignee
Peking University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Peking University filed Critical Peking University
Priority to CN202211422995.1A priority Critical patent/CN115496030B/zh
Publication of CN115496030A publication Critical patent/CN115496030A/zh
Application granted granted Critical
Publication of CN115496030B publication Critical patent/CN115496030B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公布了一种可处理电学和几何约束的模拟电路布线自动化方法及系统,对于模拟电路电学约束,使用斯坦纳树建模关键线网的布线拓扑,计算线网线段的布线线宽;对于模拟电路几何约束,使用优化的A星算法作为寻路算法寻找布线路径;系统包括:构建树状布线拓扑模块、线宽计算模块和寻路布线模块;根据模拟电路版图的电学约束、几何约束和模拟电路版图设计要求,使用寻路算法获取可行的模拟电路版图布线结果,由此实现可处理电学和几何约束的模拟电路自动化布线。本发明可实现高效的模拟电路自动布线,且自动布线完成的版图具有与人工布线结果接近的电路性能。

Description

可处理电学和几何约束的模拟电路布线自动化方法及系统
技术领域
本发明属于模拟电路版图自动化技术领域,涉及自动化模拟电路线网布线技术,具体涉及一种可通过模拟电路电学约束推演合适线宽的布线方法与系统,是一种利用模拟电路版图性能要求给定的电学指标的阈值,通过生成线网的布线拓扑树以确定合适的线宽,并遵循复杂的布线规则以及布线的电学、几何约束的要求,完成自动化线网布线的技术。
背景技术
目前在实践中,模拟电路版图的布线主要依赖于人工手动完成。随着电路版图布线工艺节点的演进,模拟电路版图的设计要求日趋复杂。并且,模拟集成电路的电路规模也出现了巨大的增长。原有的人工手动布线的方式已经无法适应复杂的设计规则以及增长的规模,因此自动化的模拟电路版图布线方法得到研究。
目前,现有模拟电路版图的布线工具包括明尼苏达大学ALIGN工具的布线器,德克萨斯大学奥斯汀分校MAGICAL工具的布线器,里斯本大学基于LAYGENII的布线器。
已有的模拟电路版图布线器设计流程和工具存在以下不足之处:
(一)只能处理少部分设计规则,无法在统一框架中实现对多种复杂的布线规则的处理,并且,面对更为复杂的电学和几何约束,布线器无法综合考虑;
(二)对于关键线网的线宽的确定没有合适的方法,无法满足先进工艺下模拟电路的性能要求;
(三)相比与人工版图,布线器生成的版图的性能有一定的衰减,不一定能满足特定的设计需求。
综上所述,现有传统的模拟电路版图布线方法不完全适应于当前布线设计的需求,难以处理模拟电路版图自动化线网布线复杂的设计规则以及线网布线性能的要求。
发明内容
为了克服上述现有技术的不足,本发明提供了一种模拟电路版图布线自动化方法及系统,建立了统一处理各种复杂设计规则的自动化布线模型框架,并可以自动为关键线网计算合适的线宽,得到高性能的布线完成的版图结果。该方法可以完备处理人工设计模拟电路版图布线过程中不易于处理的复杂设计规则,大幅提高模拟电路版图设计的效率,得到与人工设计版图性能接近的版图结果,性能超过其他的自动化布线工具。
本发明具体实施过程包括:构建树状的布线拓扑、定义电流加权的线长、根据模拟电路的电学约束求解模拟电路布线的线宽、处理复杂的几何约束、处理复杂的设计规则、使用寻路算法给出可行解。
在本发明中,以已经布局(placement)完成的模拟电路版图作为输入,首先对于模拟电路关键的线网建立斯坦纳树(Steiner tree)形式的布线拓扑(routing topology),布线拓扑可用于估计线网的布线线长(wirelength),并且使用前仿真(pre-layoutsimulation)衡量线网上通过的电流的数值,然后基于布线拓扑和电流信息,将布线的电学(electrical)约束条件转换为线性规划(linear programming)问题求解,得到线网每个线段(wire segment)的线宽(wire width),最后基于布线拓扑和线宽以及电学、几何(geometrical)约束条件 ,使用A星(A star)算法完成线网的完整布线。
本发明提供一种可处理电学和几何约束的模拟电路布线自动化方法,对于电学约束,使用斯坦纳树建模关键线网的布线拓扑,计算线网线段的布线线宽;对于几何约束,使用优化的A星算法作为寻路算法寻找布线路径;从而实现可处理电学和几何约束的模拟电路自动化布线;包括以下步骤:
1)对关键线网建立布线拓扑:基于布局完成的模拟电路版图和电流信息,构造关键线网的布线拓扑;包括:
11)输入模拟电路的网表文件和布局完成的模拟电路版图,对网表文件进行前仿真,得到关键线网每个管脚流入流出的电流信息;自底向上地构建线网的斯坦纳树形式的布线拓扑:
12)定义电流加权线长的计算方式为线段通过的电流数值乘线段的长度之和;
13)建立布线拓扑;方法包括:
131)每个回合选取拥有最小电流加权线长的三个管脚,其中任意三个管脚之间的电流加权线长的估计方式是三个管脚到三个管脚的质心的曼哈顿距离分别乘上管脚流出电流的绝对值,然后求和;
132)在最小电流加权线长的三个管脚的质心插入一个新的斯坦纳点,将新插入的斯坦纳点替换原有的三个管脚进行下一个回合的管脚选取;
133)如此重复每个回合对三个管脚的选取及插入新斯坦纳点,直到只剩下一个斯坦纳点;
134)将剩下的斯坦纳点作为斯坦纳树的根节点,其它的斯坦纳点按照插入顺序依次连接,即得到斯坦纳树形式的线网布线拓扑;
2)为线网的线段计算线宽:
针对模拟电路的电学约束,在斯坦纳树形式的线网布线拓扑上确定线网的每个线段的线宽;电学约束包括:电阻约束,电压降约束,电迁移约束;
其中,将电阻约束和电迁移约束均表示为线网每个线段的电阻上下限约束;电压降约束表示为多段线网的电阻的线性组合的上限约束;
采取方块电阻近似方式,使用步骤1)中构建得到的斯坦纳树形式的线网布线拓扑和线长的估计,将电阻约束、电压降约束、电迁移约束表示为关于线段线宽的倒数的线性不等式组;
求解关于线宽倒数的线性不等式组,即得出线网线段的线宽;
3)基于步骤1)生成的斯坦纳树形式的线网布线拓扑和步骤2)得到的线网线段的线宽,使用寻路算法,针对模拟电路的电学约束和几何约束,以及模拟电路版图的布线设计要求,完成布线;
寻路算法采用改进A星寻路算法,包括:
31)基于步骤1)生成的斯坦纳树形式的线网布线拓扑,将线网拆分成为多个二管脚线网,同时将模拟电路版图划分为虚拟的格点图;
32)对每个二管脚线网使用A星寻路算法,避开器件和已布线区域的障碍,使得布线宽度与步骤2)得到的线宽计算结果一致;
33)对于几何约束和布线设计要求,在A星寻路算法的目标函数中加入对几何约束和布线设计要求的违例惩罚项:在出现违反上述约束和要求的违例时,在目标函数中减去一个大数,在搜索中避免出现违例,得到可行的布线结果;
34)电学约束还可包括对称约束;对于对称约束,选取对称约束对应的两个线网中的一个线网,先进行布线,再将布线完成的线网的布线拓扑进行对称处理,包括轴对称或中心对称;将对称处理后得到的区域作为另一个线网布线的指导区域,采用寻路算法优先在指导区域内布线;
通过上述步骤,实现可处理电学和几何约束的模拟电路自动化布线。
步骤3)计算线网线段的线宽,具体是使用开源线性规划求解器lpsolve55求解关于线宽倒数的线性不等式组,得出线网线段合适的线宽。
几何约束包括:减少弯折约束。
模拟电路版图的布线设计要求包括:平行线间距规则和线终点间距规则。
步骤1)具体是通过仿真工具virtuoso对输入的网表文件进行前仿真,得到关键线网每个管脚流入流出的电流信息。
本发明还提供一种实现上述可处理电学和几何约束的模拟电路布线自动化方法的系统,系统包括:构建树状布线拓扑模块、线宽计算模块和寻路布线模块;其中,
构建树状布线拓扑模块用于根据布局完成的版图以及电流信息,构造关键线网的布线拓扑;
线宽计算模块用于定义电流加权的线长,并根据模拟电路版图的电学约束求解得到线宽;
寻路布线模块用于根据模拟电路版图的几何约束和模拟电路版图设计要求,使用寻路算法获取可行的模拟电路版图布线结果。
与现有技术相比,本发明的有益效果是:
本发明提供了一种可处理模拟电路电学约束、几何约束及复杂的设计规则的布线方法,利用本发明的技术方案,可以实现高效的模拟电路自动布线,用户可以通过设置电学约束和几何约束得到优化过线宽以及布线拓扑的版图,自动布线完成的版图将具有与人工布线结果接近的电路性能。
附图说明
图1为本发明提供的可处理复杂电学约束和几何约束的模拟电路版图布线方法的流程框图。
图2为本发明提出的为关键线网建立布线拓扑的算法流程示意图。
图3为本发明提出的处理对称约束的布线搜索算法的一个示例,其中对称约束出现在两个对称的线网之间。
具体实施方式
下面结合附图,通过实施例进一步描述本发明,但不以任何方式限制本发明的范围。
本发明提供了一种模拟电路版图布线自动化方法及系统,建立了统一处理各种复杂设计规则的自动化布线模型框架,并可以自动为关键线网计算合适的线宽,得到高性能的布线完成的版图结果。
本发明提供一种可确定线宽及处理复杂设计规则的模拟电路布线方法,是一种支持将各种复杂的电学和几何约束转换为线宽和走线形状的要求的布线方法;本发明基于布局的版图以及电路前仿真的电流信息;对于模拟电路电学约束,考虑关键线网,使用斯坦纳树建模关键线网的布线拓扑,计算线网线段的布线线宽;对于几何约束,使用优化的A星算法作为寻路算法(pathfinder)寻找合适的布线路径;图1所示为本发明提供的可处理模拟电路复杂电学约束和几何约束的模拟电路版图布线方法的流程。包括以下步骤:
A. 对关键线网建立布线拓扑:基于布局完成的版图以及电流信息,构造关键线网的布线拓扑,服务于后续的线宽计算及寻路;
输入模拟电路的网表文件(netlist)以及布局完成的版图,调用仿真工具virtuoso对输入的网表文件进行前仿真,得到关键线网每个管脚(pin)流入流出的电流信息。基于大电流的线网线段需要较短的布线线长的原则,自底向上地构建线网的斯坦纳树形式的布线拓扑:
定义电流加权的线长(current-weighted wire length),计算方式为线段通过的电流数值乘线段的长度之和。
本发明首创地提出的建立布线拓扑的方法具体是:每个回合选取拥有最小电流加权线长的三个管脚,其中任意三个管脚之间的电流加权线长的估计方式是三个管脚到三个管脚的质心的曼哈顿距离(Manhattan distance)分别乘上管脚流出电流的绝对值然后求和,在最小电流加权线长的三个管脚的质心插入一个新的斯坦纳点(Steiner point),将这个新插入的斯坦纳点替换原有的三个管脚进行下一个回合的管脚选取,如此重复每个回合对三个管脚的选取及插入新斯坦纳点,直到只剩下一个斯坦纳点,将这个唯一余留的斯坦纳点作为斯坦纳树的根节点(root),对其他的斯坦纳点则按照插入的顺序依次连接,即得到斯坦纳树形式的线网的布线拓扑。
本发明具体实施时,为关键线网建立布线拓扑的算法步骤如图2所示。图2所示关键线网有5个管脚,A、B、C、D、E是需要布线的关键线网的管脚,
Figure DEST_PATH_IMAGE002
Figure DEST_PATH_IMAGE004
Figure DEST_PATH_IMAGE006
Figure DEST_PATH_IMAGE008
Figure DEST_PATH_IMAGE010
为流出对应管脚的电流;每个回合的左图为版图上管脚的示意,右图为左图对应时刻构建的斯坦纳树。第一个回合,在5个管脚中寻找具有最小电流加权线长的3个管脚,为管脚A、B、C,计算A、B、C的质心并在质心处插入新的斯坦纳点,对应在斯坦纳树上插入一个电流为
Figure DEST_PATH_IMAGE012
的树的节点;第二个回合,第一个回合插入的斯坦纳点和剩下的2个管脚D、E形成最小电流加权线长并在它们的质心插入新的斯坦纳点,对应斯坦纳树上插入一个电流为
Figure DEST_PATH_IMAGE014
的树的节点,此时到达树的根结点,算法结束,布线拓扑为右下图所示的斯坦纳树。
B. 为线网的线段计算线宽:依据模拟电路电学约束,在斯坦纳树形式的布线拓扑上,综合确定线网的每个线段的线宽;
模拟电路的电学约束包括:电阻(resistance)约束,电压降(IR drop)约束,电迁移(electromigration)约束,还可以包括对称(symmetry)约束。模拟电路电学约束中,电阻约束、电迁移约束直接表示为线网每个线段的电阻上下限约束,电压降约束表示为多段线网的电阻的线性组合的上限约束。采取方块电阻(rectangle resistance)的近似,线段的电阻反比于线段的线宽,正比于线段的线长,使用步骤A中构建的线网的斯坦纳树形式的拓扑及线长的估计,将电阻约束、电压降约束、电迁移约束表示为关于线段线宽的倒数的线性不等式组。使用开源线性规划求解器lpsolve55求解关于线宽倒数的线性不等式组,得出线网线段合适的线宽。
C. 使用寻路算法完成布线:基于生成的布线拓扑及线宽,本发明改进已有的A星寻路算法,考虑电学约束和几何约束,以及复杂的设计规则(如下述的平行线间距规则),完成布线;
几何约束包括:减少弯折(low bending)约束;复杂的设计规则包括:平行线间距(parallel-run spacing)规则和线终点间距(end-of-line spacing)规则。基于步骤A生成的斯坦纳树形式的线网的布线拓扑,将线网拆分成为多个二管脚(two-pin)线网,同时将版图划分为虚拟的格点图(grid graph),对每个二管脚线网使用A星寻路算法,避开器件和已布线区域的障碍,保证布线宽度与步骤B的计算结果一致。对于几何约束和复杂的布线规则,已有的A星寻路算法的目标函数只关注连通性,本发明在A星寻路算法的目标函数中加入对约束和规则的违例的惩罚项(penalty),在出现违反上述约束和规则的违例时在目标函数中减去一个大数,在搜索中避免出现违例,得到可行的布线结果。对于对称约束,选取对称约束中指明的两个线网中的一个线网,先进行布线,再将布线完成的线网的布线拓扑做轴对称或中心对称,对称处理得到的区域作为另一个线网布线的指导区域,寻路算法优先在指导区域内布线。
图3所示为本发明处理对称线网的布线搜索算法过程。图3所示
Figure DEST_PATH_IMAGE016
Figure DEST_PATH_IMAGE018
Figure DEST_PATH_IMAGE020
为线网1的3个管脚,
Figure DEST_PATH_IMAGE022
Figure DEST_PATH_IMAGE024
Figure DEST_PATH_IMAGE026
Figure DEST_PATH_IMAGE028
为线网2的4个管脚。如图3(a)所示,线网1已经通过A星寻路算法得到了布线结果;如图3(b)所示,线网1与线网2有基本的中心对称关系,即
Figure 531002DEST_PATH_IMAGE016
Figure 448143DEST_PATH_IMAGE022
对称,
Figure 165563DEST_PATH_IMAGE018
Figure 560772DEST_PATH_IMAGE024
对称,
Figure 375144DEST_PATH_IMAGE020
Figure 197607DEST_PATH_IMAGE026
对称,线网1的布线可以按照同样的中心对称规则映射到线网2上;如图3(c)所示,从线网1映射到线网2的布线可能会跨过布线障碍(比如其他器件或是其他线网已经布线的区域),且有可能没有连通所有的管脚(如
Figure 651591DEST_PATH_IMAGE028
还没有被连通),因此在布线障碍垂直于映射的布线线段的方向寻找不在布线障碍范围内的目标点,将目标点和映射的布线作为线网2的布线指导,即在A星寻路算法中增加对远离布线指导的惩罚项;如图3(d),最终使用A星寻路算法完成线网2布线。
通过上述步骤,即实现了可确定线宽的自动化模拟电路版图布线。
本发明具体实施了一种综合考虑电学约束和几何约束的布线自动化系统,包括:构建树状布线拓扑模块、线宽计算模块和寻路布线模块;其中,构建树状布线拓扑模块用于根据布局完成的版图以及电流信息,构造关键线网的布线拓扑;线宽计算模块用于定义电流加权的线长、根据电学约束求解线宽;寻路布线模块用于处理复杂的几何约束、处理复杂的设计规则、并使用寻路算法给出可行的版图布线结果。
需要注意的是,公布实施例的目的在于帮助进一步理解本发明,但是本领域的技术人员可以理解:在不脱离本发明及所附权利要求的范围内,各种替换和修改都是可能的。因此,本发明不应局限于实施例所公开的内容,本发明要求保护的范围以权利要求书界定的范围为准。

Claims (6)

1.一种可处理电学和几何约束的模拟电路布线自动化方法,其特征是,对于模拟电路电学约束,使用斯坦纳树建模关键线网的布线拓扑,计算线网线段的布线线宽;对于模拟电路几何约束,使用优化的A星算法作为寻路算法寻找布线路径;从而实现可处理电学和几何约束的模拟电路自动化布线;包括以下步骤:
1)对关键线网建立布线拓扑:基于布局完成的模拟电路版图和电流信息,构造关键线网的布线拓扑;包括:
11)输入模拟电路的网表文件和布局完成的模拟电路版图,对网表文件进行前仿真,得到关键线网每个管脚流入流出的电流信息;自底向上地构建线网的斯坦纳树形式的布线拓扑:
12)定义电流加权线长的计算方式为线段通过的电流数值乘线段的长度之和;
13)建立布线拓扑;方法包括:
131)每个回合选取拥有最小电流加权线长的三个管脚,其中任意三个管脚之间的电流加权线长的估计方式是三个管脚到三个管脚的质心的曼哈顿距离分别乘上管脚流出电流的绝对值,然后求和;
132)在最小电流加权线长的三个管脚的质心插入一个新的斯坦纳点,将新插入的斯坦纳点替换原有的三个管脚进行下一个回合的管脚选取;
133)如此重复每个回合对三个管脚的选取及插入新斯坦纳点,直到只剩下一个斯坦纳点;
134)将剩下的斯坦纳点作为斯坦纳树的根节点,其它的斯坦纳点按照插入顺序依次连接,即得到斯坦纳树形式的线网布线拓扑;
2)为线网的线段计算线宽:
针对模拟电路的电学约束,在斯坦纳树形式的线网布线拓扑上确定线网的每个线段的线宽;电学约束包括:电阻约束,电压降约束,电迁移约束;
其中,将电阻约束和电迁移约束均表示为线网每个线段的电阻上下限约束;电压降约束表示为多段线网的电阻的线性组合的上限约束;
采取方块电阻近似方式,使用步骤1)中构建得到的斯坦纳树形式的线网布线拓扑和线长的估计,将电阻约束、电压降约束、电迁移约束表示为关于线段线宽的倒数的线性不等式组;
求解关于线宽倒数的线性不等式组,即得出线网线段的线宽;
3)基于步骤1)生成的斯坦纳树形式的线网布线拓扑和步骤2)得到的线网线段的线宽,使用寻路算法,针对模拟电路的电学约束和几何约束,以及模拟电路版图的布线设计规则要求,完成布线;
寻路算法采用改进A星寻路算法,包括:
31)基于步骤1)生成的斯坦纳树形式的线网布线拓扑,将线网拆分成为多个二管脚线网,同时将模拟电路版图划分为虚拟的格点图;
32)对每个二管脚线网使用A星寻路算法,避开器件和已布线区域的障碍,使得布线宽度与步骤2)得到的线宽计算结果一致;
33)对于几何约束和布线设计规则,在A星寻路算法的目标函数中加入对几何约束和布线设计规则的违例惩罚项:在出现违反上述约束和规则的违例时,在目标函数中减去一个大数,在搜索中避免出现违例,得到可行的布线结果;
34)电学约束还可包括对称约束;对于对称约束,选取对称约束对应的两个线网中的一个线网,先进行布线,再将布线完成的线网的布线拓扑进行对称处理,包括轴对称或中心对称;将对称处理后得到的区域作为另一个线网布线的指导区域,采用寻路算法优先在指导区域内布线;
通过上述步骤,实现可处理电学和几何约束的模拟电路自动化布线。
2.如权利要求1所述的可处理电学和几何约束的模拟电路布线自动化方法,其特征是,步骤3)计算线网线段的线宽,具体是使用开源线性规划求解器lpsolve55求解关于线宽倒数的线性不等式组,得出线网线段合适的线宽。
3.如权利要求1所述的可处理电学和几何约束的模拟电路布线自动化方法,其特征是,几何约束包括:减少弯折约束。
4.如权利要求1所述的可处理电学和几何约束的模拟电路布线自动化方法,其特征是,模拟电路版图的布线设计规则包括:平行线间距规则和线终点间距规则。
5.如权利要求1所述的可处理电学和几何约束的模拟电路布线自动化方法,其特征是,步骤1)具体是通过仿真工具virtuoso对输入的网表文件进行前仿真,得到关键线网每个管脚流入流出的电流信息。
6.一种实现如权利要求1所述的可处理电学和几何约束的模拟电路布线自动化方法的系统,其特征是,包括:构建树状布线拓扑模块、线宽计算模块和寻路布线模块;其中,
构建树状布线拓扑模块用于根据布局完成的版图以及电流信息,构造关键线网的布线拓扑;
线宽计算模块用于定义电流加权的线长,并根据模拟电路版图的电学约束求解得到线宽;
寻路布线模块用于根据模拟电路版图的几何约束和模拟电路版图设计规则,使用寻路算法获取可行的模拟电路版图布线结果。
CN202211422995.1A 2022-11-15 2022-11-15 可处理电学和几何约束的模拟电路布线自动化方法及系统 Active CN115496030B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211422995.1A CN115496030B (zh) 2022-11-15 2022-11-15 可处理电学和几何约束的模拟电路布线自动化方法及系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211422995.1A CN115496030B (zh) 2022-11-15 2022-11-15 可处理电学和几何约束的模拟电路布线自动化方法及系统

Publications (2)

Publication Number Publication Date
CN115496030A true CN115496030A (zh) 2022-12-20
CN115496030B CN115496030B (zh) 2023-01-24

Family

ID=84974393

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211422995.1A Active CN115496030B (zh) 2022-11-15 2022-11-15 可处理电学和几何约束的模拟电路布线自动化方法及系统

Country Status (1)

Country Link
CN (1) CN115496030B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115859899A (zh) * 2023-02-06 2023-03-28 北京大学 一种多驱动能力的集成电路标准单元版图迁移的方法
CN115983189A (zh) * 2023-01-06 2023-04-18 中山大学 一种自适应网格的模拟集成电路版图布线方法及系统
CN116070575A (zh) * 2023-01-12 2023-05-05 广东工业大学 一种芯片布线优化方法及软件系统
CN116402010A (zh) * 2023-05-10 2023-07-07 南京邮电大学 基于斯坦纳树算法的多实例化分块顶层布线方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1091663A (ja) * 1996-09-13 1998-04-10 Matsushita Electric Ind Co Ltd プリント基板cad装置
CN1862546A (zh) * 2006-06-15 2006-11-15 清华大学 一个快速的集成电路可布性分析方法
CN103235849A (zh) * 2013-04-18 2013-08-07 清华大学 电流驱动的集成电路自动布线方法及装置
US20170193134A1 (en) * 2015-12-31 2017-07-06 Beijing Institute Of Technology Method and device for automatically routing multi-branch cable
CN111553125A (zh) * 2020-04-23 2020-08-18 福州立芯科技有限公司 一种考虑先进技术的超大规模集成电路详细布线方法
US10783292B1 (en) * 2015-05-21 2020-09-22 Pulsic Limited Automated analog layout
US20210165856A1 (en) * 2019-11-28 2021-06-03 International Business Machines Corporation Automated design closure with abutted hierarchy
WO2021169303A1 (zh) * 2020-02-28 2021-09-02 福州大学 一种优化时分复用技术的多阶段fpga布线方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1091663A (ja) * 1996-09-13 1998-04-10 Matsushita Electric Ind Co Ltd プリント基板cad装置
CN1862546A (zh) * 2006-06-15 2006-11-15 清华大学 一个快速的集成电路可布性分析方法
CN103235849A (zh) * 2013-04-18 2013-08-07 清华大学 电流驱动的集成电路自动布线方法及装置
US10783292B1 (en) * 2015-05-21 2020-09-22 Pulsic Limited Automated analog layout
US20170193134A1 (en) * 2015-12-31 2017-07-06 Beijing Institute Of Technology Method and device for automatically routing multi-branch cable
US20210165856A1 (en) * 2019-11-28 2021-06-03 International Business Machines Corporation Automated design closure with abutted hierarchy
WO2021169303A1 (zh) * 2020-02-28 2021-09-02 福州大学 一种优化时分复用技术的多阶段fpga布线方法
CN111553125A (zh) * 2020-04-23 2020-08-18 福州立芯科技有限公司 一种考虑先进技术的超大规模集成电路详细布线方法

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
WING-KAI CHOW: "Placement:From Wirelength to detailed routability", 《IPSJ TRANSACTIONS ON SYSTEM LSI DESIGN METHODOLOGY》 *
何志强: "电气布线自动化关键算法研究", 《中国优秀硕士学位论文全文数据库(电子期刊)工程科技Ⅱ辑》 *
张亚东等: "基于模式布线和A-Star搜索的总体布线", 《中国集成电路》 *
林亦波等: "机器学习辅助数字集成电路后端设计方法", 《微纳电子与智能制造》 *
王洪申等: "智能识点法实现MD模型上的自动布线", 《微电子学与计算机》 *
谭剑波等: "基于点阵的线探索优化布线研究", 《系统仿真学报》 *

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115983189A (zh) * 2023-01-06 2023-04-18 中山大学 一种自适应网格的模拟集成电路版图布线方法及系统
CN115983189B (zh) * 2023-01-06 2024-02-23 中山大学 一种自适应网格的模拟集成电路版图布线方法及系统
CN116070575A (zh) * 2023-01-12 2023-05-05 广东工业大学 一种芯片布线优化方法及软件系统
CN116070575B (zh) * 2023-01-12 2023-08-15 广东工业大学 一种芯片布线优化方法及软件系统
CN115859899A (zh) * 2023-02-06 2023-03-28 北京大学 一种多驱动能力的集成电路标准单元版图迁移的方法
CN115859899B (zh) * 2023-02-06 2023-05-16 北京大学 一种多驱动能力的集成电路标准单元版图迁移的方法
CN116402010A (zh) * 2023-05-10 2023-07-07 南京邮电大学 基于斯坦纳树算法的多实例化分块顶层布线方法
CN116402010B (zh) * 2023-05-10 2023-11-21 南京邮电大学 基于斯坦纳树算法的多实例化分块顶层布线方法

Also Published As

Publication number Publication date
CN115496030B (zh) 2023-01-24

Similar Documents

Publication Publication Date Title
CN115496030B (zh) 可处理电学和几何约束的模拟电路布线自动化方法及系统
CN112181867B (zh) 基于多目标遗传算法的片上网络内存控制器布局方法
JP3449923B2 (ja) ネットワークトポロジー設計装置及びネットワークトポロジー設計方法並びにネットワークトポロジー設計プログラムを記録した記録媒体
CN112464611B (zh) 一种基于云端协同智能处理的pcb自动布线系统
CN116629189B (zh) 一种集成电路的布局生成方法及装置
CN113420519B (zh) 基于树结构的模拟电路自动设计方法、装置、设备及介质
CN112332306A (zh) 一种电缆自动敷设方法及存储介质
CN115859899B (zh) 一种多驱动能力的集成电路标准单元版图迁移的方法
CN112560389B (zh) 一种基于轨道分配的详细布线方法
CN115983189A (zh) 一种自适应网格的模拟集成电路版图布线方法及系统
CN116151324A (zh) 基于图神经网络的rc互连延时预测方法
Farooq et al. Efficient FPGA routing using reinforcement learning
CN117521576B (zh) 运算资源共享方法、装置、设备和介质
CN114595656A (zh) 电子器件的算法模型和版图的生成方法、装置、设备及介质
US8464196B1 (en) Method and system for routing optimally between terminals through intermediate vias in a circuit design
CN117422041A (zh) 模拟芯片自动化布线模型训练方法及自动化布线方法
CN113591299A (zh) 判定不同类型微通道散热器综合性能优劣的方法和系统
KR101806628B1 (ko) 통합 회귀 분석 네트워크 구축 방법 및 이에 의한 통합 분석 시스템
CN110032815B (zh) 基于文化基因的八角形斯坦纳树构建方法
CN116502595A (zh) 基于随机行走的电容提取方法及装置、设备、介质
CN115292858A (zh) 基于数字化三维技术进行二次电缆长度的统计方法和装置及设备
JP2008299641A (ja) 連立一次方程式の並列求解方法およびノード順序付け方法
Sangwan et al. An efficient approach to VLSI circuit partitioning using evolutionary algorithms
EP3261007A1 (en) Improved computer implemented method for breeding scheme testing
CN112436439B (zh) 一种光伏电站电缆桥架布设方法及装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant