CN115298799A - 供给功率以在衬底处理系统中产生等离子体的直接驱动系统用的rf基准测量电路 - Google Patents

供给功率以在衬底处理系统中产生等离子体的直接驱动系统用的rf基准测量电路 Download PDF

Info

Publication number
CN115298799A
CN115298799A CN202180022911.2A CN202180022911A CN115298799A CN 115298799 A CN115298799 A CN 115298799A CN 202180022911 A CN202180022911 A CN 202180022911A CN 115298799 A CN115298799 A CN 115298799A
Authority
CN
China
Prior art keywords
circuit
conductor
connector
substrate processing
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180022911.2A
Other languages
English (en)
Inventor
龙茂林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115298799A publication Critical patent/CN115298799A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H1/00Constructional details of impedance networks whose electrical mode of operation is not specified or applicable to more than one type of network
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种衬底处理系统包含驱动电路、RF基准测量电路和通断连接器。该驱动电路以第一RF频率产生RF驱动信号。该RF基准测量电路包含具有输入阻抗与输出阻抗的LC电路。所述LC电路的输出连接至RF功率计和虚拟负载。所述通断连接器将所述驱动电路连接至所述RF基准测量电路与包含所述衬底处理系统的部件的处理室负载中的一者。所述驱动电路的输出阻抗匹配于所述LC电路的输入阻抗的阻抗。所述驱动电路的所述输出阻抗不匹配于所述RF功率计与所述虚拟负载的阻抗。所述LC电路将所述驱动电路的所述阻抗匹配于所述RF功率计与所述虚拟负载。

Description

供给功率以在衬底处理系统中产生等离子体的直接驱动系统 用的RF基准测量电路
相关申请的交叉引用
本申请要求于2020年3月19日申请的美国临时申请No.62/991,960的利益。上述引用的申请其全部公开内容都通过引用合并于此。
技术领域
本公开关联于衬底处理系统,并且更具体地关联于用于测量由将射频(RF)功率供给至衬底处理系统的直接驱动系统所产生的RF基准的RF基准测量电路。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统通常用于将如半导体晶片的衬底上的薄膜蚀刻。蚀刻通常包含湿式化学蚀刻或干式蚀刻。干式蚀刻可使用由感应耦合等离子体(ICP)产生的等离子体实施。磁场是由一个或多个感应线圈产生,该一个或多个感应线圈被布置于处理室的外侧而与介电窗相邻。在该处理室内部流动的工艺气体被磁场点燃以产生等离子体。在一些应用中,RF偏压功率也可被供给至该衬底支撑件中的电极处。
该RF等离子体功率或RF偏压功率的频率可被改变以提供额外的工艺控制。此外,在处理期间可以将该RF等离子体功率或该RF偏压功率的大小或电平改变以提供额外的工艺控制。在该RF等离子体功率或电平和/或该RF偏压功率或电平中的改变可造成由该驱动电路所遇见的阻抗改变。当在该负载与该驱动电路之间出现阻抗不匹配时,功率被反射,而这是低效的。
发明内容
一种衬底处理系统包含驱动电路、RF基准测量电路和通断连接器。该驱动电路被配置为以第一RF频率产生RF驱动信号。该RF基准测量电路包含具有输入阻抗与输出阻抗的LC电路。所述LC电路的输出被配置为连接至RF功率计和虚拟负载。所述通断连接器被配置为将所述驱动电路连接至所述RF基准测量电路与包含所述衬底处理系统的部件的处理室负载中的一者。所述驱动电路的输出阻抗匹配于所述LC电路的输入阻抗的阻抗。所述驱动电路的所述输出阻抗不匹配于所述RF功率计与所述虚拟负载的阻抗。所述LC电路被配置为将所述驱动电路的所述阻抗匹配于所述RF功率计与所述虚拟负载。
在其他特征中,所述RF基准测量电路包含:第一导体,其连接至所述LC电路的输出;并且所述RF功率计被连接至所述第一导体。
在其他特征中,所述RF基准测量电路包含:第二导体,其连接至所述RF功率计的输出;并且所述虚拟负载被连接至所述第二导体。
在其他特征中,所述驱动电路的所述输出阻抗与所述LC电路的所述输入阻抗是在0.1Ω至10Ω的范围中。
在其他特征中,所述驱动电路的所述输出阻抗与所述LC电路的所述输入阻抗是在0.5Ω至2Ω的范围中。
在其他特征中,所述LC电路的所述输出阻抗与所述RF功率计、所述虚拟负载、所述第一导体和所述第二导体的阻抗是在20Ω至100Ω的范围中。
在其他特征中,所述LC电路的所述输出阻抗与所述RF功率计、所述虚拟负载、所述第一导体和所述第二导体的所述阻抗是在45Ω至55Ω的范围中。
在其他特征中,所述LC电路包含:第一连接器,其被连接至所述通断连接器;电感器,其具有被连接至所述第一连接器的一端;第一电容器,其与所述电感器的相反端串联;以及第二电容器,其被并联连接在所述电感器的所述相反端与所述第一电容器之间。
在其他特征中,所述第一电容器包含一个或多个陶瓷电容器,其具有连接至所述第二电容器的第一终端以及连接至第二连接器的第二终端。
在另一特征中,所述第二导体包含同轴电缆。
在另一特征中,所述第二电容器包含一个或多个真空电容器。
在其他特征中,所述LC电路的所述输入阻抗是在0.5Ω至2Ω的范围中,并且所述LC电路的所述输出阻抗是在45Ω至55Ω的范围中。
在另一特征中,所述第一RF频率是在1.8MHz至2.2MHz的范围中。
在其他特征中,所述LC电路包含:第一连接器,其被连接至所述通断连接器;电感器,其具有被连接至所述第一连接器的一端;第一电容器,其与所述电感器的相反端串联连接;以及第二电容器,其与所述第一电容器的相反端并联连接。
在另一特征中,所述第一电容器与所述第二电容器包含一个或多个真空电容器。
在另一特征中,所述第一RF频率是在12.35MHz至13.65MHz的范围中。
在另一特征中,所述通断连接器包含:托架;第一导体,其从所述托架的一侧延伸;第二导体,其从所述托架的相反侧延伸并且连接至所述第一导体;以及连接器,其能移除地附接于所述第二导体,并且配置为将所述第二导体连接至所述RF基准测量电路,并且不连接至所述驱动电路。所述连接器是T形的,并且包含被连接至所述第二导体的主体、以及从所述主体延伸且包含空腔的圆柱部分。所述圆柱部分的所述空腔被配置成连接至所述RF基准测量电路。
在另一特征中,所述通断连接器包含:托架;第一导体,其从所述托架的一侧延伸;第二导体,其从所述托架的相反侧延伸并且连接至所述第一导体;以及连接器,其被配置成能移除地附接于所述第二导体,并且配置为将所述第二导体连接至所述驱动电路,并且不连接至所述RF基准测量电路。所述连接器包含主体、在所述主体中的搪孔,以及延伸经过所述搪孔以将所述主体连接至所述第二导体的扣件。
在另一特征中,所述通断连接器包含:托架;第一导体,其从所述托架的一侧延伸;第二导体,其从所述托架的相反侧延伸并且连接至所述第一导体;以及连接器,其被配置成能移除地附接于所述第二导体,并且被配置为将所述第二导体连接至所述RF基准测量电路与所述驱动电路中的一者。所述连接器包含T形连接器。
在另一特征中,所述T形连接器包含分别包含第一和第二空腔的第一脚和第二脚。所述第一脚和所述第二脚能滑动地被接收于所述第二导体上。所述T形连接器包含第三脚,其被布置成横向于所述第一脚与所述第二脚,并且包含空腔,其被配置为连接至所述RF基准测量电路。
在另一特征中,所述T形连接器包含:主体,其包含搪孔;扣件,其延伸经过所述搪孔以将所述主体连接至所述第二导体;以及圆柱部分,其从所述主体延伸并且包含空腔,所述空腔被配置成连接至所述RF基准测量电路。
在另一特征中,所述圆柱部分具有轴,并且所述扣件沿横向于所述轴的方向连接。
在另一特征中,所述圆柱部分具有轴,并且所述扣件沿平行于所述轴的方向连接。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是根据本公开的包含一个或多个RF直接驱动电路的衬底处理系统的示例的功能框图;
图2A和2B是根据本公开的RF直接驱动电路的示例的功能框图与电路图;
图3A是根据本公开的被连接至负载的RF直接驱动电路的示例的功能框图;
图3B是根据本公开的连接至RF基准测量电路的RF直接驱动电路的功能框图;
图4是根据本公开的以第一和第二RF范围操作的RF直接驱动电路以及第一和第二RF基准测量电路的示例的功能框图;
图5是根据本公开的用于第一RF基准的LC电路的示例的电路图;
图6是根据本公开的用于第二RF基准的LC电路的示例的电路图;
图7是根据本公开的直接驱动系统的示例的透视图;
图8A和8B是根据本公开的用于直接驱动系统的第一RF基准测量电路的示例的透视图;以及
图9A和9B是根据本公开的用于直接驱动系统的第二RF基准测量电路的示例的透视图;
图10A和10B是根据本公开的用于直接驱动系统的通断连接器的上部分的示例的透视图;
图11是根据本公开的用于直接驱动系统的通断连接器的示例的透视图;
图12A和12B是根据本公开的用于直接驱动系统的通断连接器的上部分的示例的透视图;
图13是根据本公开的用于直接驱动系统的通断连接器的上部分的另一示例的透视图;以及
图14是根据本公开的用于直接驱动系统的通断连接器的上部分的另一示例的透视图。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
在若干应用中,被供给至在衬底支撑件中的ICP线圈和/或电极的该RF源功率或RF偏压功率的RF频率是在两个以上的频率和/或两个以上的脉冲电平之间切换。大于一个频率和/或大于一个电平的RF脉冲被显示并且叙述于共同转让的美国专利No.9,515,633中,其发明名称为“Transformer Coupled Capacitive Tuning Circuit with FastImpedance Switching for Plasma Etch Chambers”,在此通过引用将其全部内容纳入。
在这些系统中,RF产生器的阻抗被匹配于负载(例如感应线圈与等离子体,或者电极与等离子体)。然而,当等离子体条件不同、脉冲电平改变、和/或因为各种不同其他因素,负载的阻抗随之改变。当阻抗失配发生时,功率被负载反射,这是低效的。因为相对于在频率改变和/或电平至电平改变之间的切换时间段而改变电容数值所需要的时间量,使用可变电容器调整电路是困难的。
为了减轻若干的前述问题,可使用具有低输出阻抗的RF直接驱动电路。在一些示例中,RF直接驱动电路的阻抗主要是电阻式的,并且具有相对较低的电阻(例如,约1Ω)。举例而言,直接驱动及混合直接驱动电路被显示于共同转让的美国专利申请No.16/007,481中,该申请于2018年6月13日提交,发明名称为“Direct Drive RF Circuit for SubstrateProcessing Systems”,在此通过引用将其全部内容纳入。直接驱动电路的额外示例显示以及描述于2019年1月3日公开的、发明名称为“High Gain Resonant Amplifier forResistance Output Impedance”的美国专利公开No.2019/0007004中,通过引用将其全部内容并入此处。
为了确保衬底处理系统在理想的操作参数(例如,非均匀性)内操作,由该直接驱动系统输出的RF功率或RF偏压应周期性地(或以事件为基础)测量并且在需要时予以校正。然而,测量直接驱动电路的输出是困难的,因为与商业上可得和/或因为其他原因已在晶片厂中的现存同轴电缆、RF功率计和/或虚拟负载的阻抗相比,直接驱动电路具有相对低的阻抗。多数现存的同轴电缆、RF功率计、和/或虚拟负载具有约50Ω的阻抗。本文描述的系统和方法用于测量由RF直接驱动系统产生的RF功率和/或RF偏压信号的一个或多个参数。
根据本公开的系统和方法包含布置于直接驱动电路与处理室负载(例如,处理室的部件和/或等离子体)之间的通断连接器。通断连接器将该直接驱动电路连接至该处理室负载、或连接至包含虚拟负载的RF基准测量电路。该RF基准测量电路包含LC电路,该LC电路具有输入阻抗以及输出阻抗,该输入阻抗与直接驱动电路的阻抗匹配,该输出阻抗与同轴电缆、RF功率计以及虚拟负载的阻抗匹配。这允许以现有的RF功率计、同轴电缆以及虚拟负载作出测量,而减少成本。
现在参考图1,根据本公开的衬底处理系统10的示例被显示。该衬底处理系统10包含RF驱动电路12。RF驱动电路12可以包含RF源以及匹配网络或本文描述的直接驱动电路。
在一些示例中,充气部20可布置于线圈16与介电窗24之间以使用热和/或冷空气流对介电窗24的温度进行控制。介电窗24沿处理室28的一侧布置。处理室28进一步包含衬底支撑件(或基座)32。该衬底支撑件32可能包含静电卡盘(ESC)、机械卡盘、或其他类型的卡盘。工艺气体被供给至处理室28并且等离子体40被产生于处理室28内。等离子体40蚀刻衬底34的暴露表面。
在操作期间,RF驱动电路52可被用于将RF偏压提供至衬底支撑件32中的电极。RF驱动电路52可以包含RF功率源以及匹配网络或直接驱动电路(本文所述)。RF驱动电路12和/或52的至少一者包含RF直接驱动电路。
气体输送系统56可用于将工艺气体混合物供给至处理室28。气体输送系统56可以包含工艺以及惰性气体源57、气体计量系统58(如阀和质量流控制器)以及歧管59。例如空气之类的气体可用于将线圈16与介电窗24冷却。加热器/冷却器64可以用于将衬底支撑件32加热/冷却至预定温度。排气系统65包含阀66和泵67以通过清扫或排空将反应物从处理室28移除。控制器54可以用于控制蚀刻工艺。控制器54监测系统参数并且控制气体混合物的输送,等离子体的点燃、维持和熄灭,反应物的移除,冷却气体的供给等等。
现在参考图2A和2B,显示了用于供给RF偏压或RF等离子体功率的RF直接驱动电路200的示例。在图2A中,RF直接驱动电路200包含时钟220,时钟220在一个以上的选择RF频率下操作。由时钟220输出的时钟信号被输入至栅极驱动电路222。在一些示例中,栅极驱动电路222包含放大器244以及反向放大器246,其各自具有连接至时钟220的相对应输入。
栅极驱动电路222的输出被输入至半桥电路238。在一些示例中,半桥电路238包含第一开关240和第二开关242。在一些示例中,第一开关240和第二开关242包含金属氧化物半导体场效晶体管(MOSFET)。第一开关240和第二开关242中的每一者包含控制终端,以及第一终端和第二终端。栅极驱动电路222的放大器244的输出被输入至第一开关240的控制终端。栅极驱动电路222的反向放大器246的输出被输入至第二开关242的控制终端。
输出节点230被连接至第一开关240的第二终端并且连接至第二开关242的第一终端。第一开关240的第一终端被连接至第一DC供给源270。第二开关242的第二终端被连接至例如接地之类的参考电位。
输出节点230通过电感器232连接至阳极234。在一些示例中,与电阻RP串联的电容Cp可用于模型化由RF直接驱动电路200所遇见的阻抗(例如,等离子体电容和电阻,在衬底支撑件中的电极(或另一部件)的电容与电阻,和/或其他杂散或寄生电容与电阻)。
在图2B中的RF直接驱动电路包含第一与第二DC供给源270、280,分别以+VDC/2及-VDC/2操作,以移除DC偏压。在一些示例中,为了实现相同的输出RF功率,第一和第二DC供给源270、280两者以在图2A中的单DC供给源的一半的电压操作。在一些示例中,第一DC供给源270和第二DC供给源280以大约相同的大小及相反的极性操作。当如在此所使用的,大约相同是指:第一DC供给源270输出的DC电压的大小与第二DC供给源280相比,二者之间的差距小于20%、5%或2%。第一DC供给源270被连接至第一开关240的第一终端。第二DC供给源280被连接至第二开关242的第二终端。
在一些示例中,电流传感器282与电压传感器284感测在输出节点230的电流和电压。相位偏移计算器290接收感测到的电流与电压信号并且产生相位偏移信号,该相位偏移信号被输出至时钟频率调整器292。时钟频率调整器292基于相位偏移信号产生时钟调整信号。在其他特征中,当电流领先电压时,时钟频率调整器292增加时钟220的频率,而当电压领先电流时,时钟220的频率减少。
现在参考图3A和3B,直接驱动电路310可连接至如处理室的部件之类的负载312,或者连接至实施测量以对直接驱动电路310的操作进行评估的RF基准测量电路314。在图3A中,在通常操作模式期间,通断连接器316被配置为将直接驱动电路310连接至负载312。通断连接器316可包含扣件、导体、和/或被手动附接和/或移除以建立和/或断开适当连接的其他硬件。如上所述,在通常操作模式中的操作期间,直接驱动电路310驱动负载312。
周期性地或以事件为基础,直接驱动电路的输出被测试以确保处理室正确地操作。然而,虚拟负载、RF功率计以及同轴电缆连接器具有高得多的阻抗,该阻抗不匹配于该直接驱动电路的输出阻抗。
在图3B中,在RF基准测量模式期间,通断连接器316被配置为将直接驱动电路310连接至LC电路320。LC电路320的输出被连接至RF功率计324。导体326将RF功率计324连接至虚拟负载328。在一些示例中,导体326包含同轴电缆,但也可使用其他导体。
直接驱动电路310的输出阻抗不匹配于导体326的阻抗、RF功率计324的阻抗以及虚拟负载的阻抗。在一些示例中,直接驱动电路310的输出阻抗是在0.1欧姆(Ω)至5Ω的范围中。在一些示例中,直接驱动电路310的输出阻抗是在0.5欧姆(Ω)至2Ω的范围中。
在一些示例中,导体326与RF功率计324的阻抗大于直接驱动电路310的输出阻抗。举例而言,导体326、RF功率计324与虚拟负载328的阻抗可以在20欧姆(Ω)至100Ω的范围中。举例而言,导体320、RF功率计324以及虚拟负载328的阻抗可以在45欧姆(Ω)至55Ω的范围中。举例而言,导体320与RF功率计324的阻抗可以是50Ω。
现在参考图4,直接驱动电路410被显示为在RF基准测量模式中。直接驱动电路410包含在第一频率范围与第二频率范围中的第一与第二输出。在一些示例中,第一频率范围是从1.8MHz至2.2MHz,但也可使用其他频率范围。在一些示例中,第二频率范围是12.35MHz至13.65MHz,但也可使用其他频率范围。
在第一基准测量模式期间,通断连接器414将直接驱动电路410的第一输出连接至LC电路420,或者,在第一直接驱动模式期间通断连接器414将直接驱动电路410的第一输出连接至负载412。LC电路420通过导体426连接至RF功率计424。导体426将RF功率计424连接至虚拟负载428。在一些示例中,导体426包含同轴电缆。
在第二RF基准测量模式期间,通断连接器434将直接驱动电路410的第二输出连接至LC电路440,或者,在第二直接驱动模式期间,通断连接器434将直接驱动电路410的第二输出连接至如处理室之类的部件的负载432。在一些示例中,负载412和432是相同负载或不同的负载。LC电路440通过导体446连接至RF功率计444。导体446将RF功率计444连接至虚拟负载448。在一些示例中,导体446包含同轴电缆。
现在参考图5,用于第一频率范围的LC电路440的示例被显示。LC电路420包含与电容器C1串联的电感器L1(具有电阻RL1)。电容器C2被连接在电感器L1与电容器C1之间。在一些示例中,LC电路420具有输入阻抗和输出阻抗,该输入阻抗匹配于直接驱动电路的阻抗,该输出阻抗匹配于连接器、RF计以及虚拟负载的阻抗。
现在参考图6,用于第二频率范围的LC电路420的示例被显示。LC电路440包含与电容器C3串联的电感器L2(具有电阻RL2)。电容器C4连接在电容器C3与LC电路440的输出之间。在一些示例中,LC电路440具有输入阻抗与输出阻抗,该输入阻抗匹配于直接驱动电路的阻抗,该输出阻抗匹配于连接器、RF计以及负载的阻抗。
现在参考图7,直接驱动系统700的示例被显示。直接驱动系统700包含多个堆叠件外壳,其限定上层704、中层706以及下层708。在一些示例中,直接驱动系统700可布置于处理室上方。在一些示例中,侧壁以及上表面与下表面由例如铝之类的导体材料制成。
上层704包含容纳上述直接驱动电路712的外壳710。在一些示例中,中层706包含多个外壳。外壳713-1容纳第一RF基准测量电路714-1并且包含位于外壳713-1的外壁上的连接器716-1(例如同轴连接器)。中层706进一步包含外壳713-2,其容纳第二RF基准测量电路714-2并且包含位于外壳713-2的外壁上的连接器716-2(如同轴连接器)。
在一些示例中,外壳713-1被布置为相对于外壳713-2呈平行以及间隔关系。在一些示例中,中层706可以包含一个或多个额外外壳(如外壳718)。在一些示例中,中层706的一个或多个外壳包含一个或多个风扇720以循环外壳内的空气。
下层708包含外壳724-1,其容纳第一通断连接器726-1。第一通断连接器726-1将直接驱动电路712的输出中的一者连接至第一RF基准测量电路714-1或连接至处理室的部件(例如在衬底支撑件中的感应线圈或电极),或者用于将直接驱动电路712与第一RF基准测量电路714-1以及处理室的部件两者断开。
下层708还包含另一外壳724-2,其容纳第二通断连接器726-2以将直接驱动电路712的输出中的一者连接至第二RF基准测量电路714-2或连接至处理室的部件,或者用于将直接驱动电路712与第二RF基准测量电路714-2以及处理室的部件两者断开。
现在参考图8A以及8B,用于直接驱动系统的第一RF基准测量电路714-1被显示。第一RF基准测量电路714-1包含电气连接至连接器716-1的板810。板810还被连接至与板810并联的一个或多个电容器816的第一终端。一个或多个电容器816的第二终端被连接至板812。在一些示例中,电容器816包含陶瓷电容器,但也可以使用其他类型的电容器。
一个或多个电容器820并联于板812与基准电位之间。举例而言,基准电位可以是由外壳的接地下表面所提供。在一些示例中,电容器包含真空电容器。板812的延伸件824被连接至电感器830的一端。在一些示例中,电感器830包含围绕在螺旋线圈中的传导材料。在一些示例中,传导材料包含铜或镀银(Ag)的铜。电感器830的相反端被连接至板842的一端。在一些示例中,电感器830的线圈是由延伸于其间的分离器834维持间隔关系。
在一些示例中,分离器834包含主体835以及在间隔位置处从主体835延伸的多个凸部。多个凸部836的端部位于电感器830的线圈组之间。在一些示例中,分离器834以非传导材料制成,如塑料制成,但是也可以使用其他材料。在一些示例中,分离器834以聚二醚酮(PEEK)制成。板842的相反端被连接至连接器844。连接器844延伸通过外壳至连接器850。在一些示例中,连接器850包含具有圆柱体的公连接器。
现在参考图9A和9B,用于直接驱动系统的第二RF基准测量电路714-2被显示。第二RF基准测量电路714-2包含连接至连接器716-1的板910。一个或多个的电容器912并联连接在板910与基准电位(例如接地——例如接地外壳壁)之间。板910的延伸部924被连接至电感器930的一端。电感器930的相反端被连接至板942的一端。在一些示例中,电感器930的线圈组由分离器934分开。
板942的相反端被连接至连接器944。连接器944延伸通过外壳至连接器950。在一些示例中,连接器950包含例如圆柱传导部分之类的公连接器。
现在参考图10A和10B,用于直接驱动系统的通断连接器1010的上部分被显示。通断连接器1010包含导体1020和基底部分1024,该导体1020被一个或多个环部分1022与1024环绕。在一些示例中,一个或多个环部分1022和1024具有对直接驱动电路的母连接器的定心功能。在一些示例中,导体1020包含圆柱传导部分,但也可以使用其他形状。
导体1028从基底部分1024向下延伸并且电气连接至导体1020。在一些示例中,导体1028具有圆柱形状并且包含配置成接收T形连接器1040的附接部分1029。在一些示例中,附接部分1029限定平坦表面以配合T形连接器1040的主体1042的平坦表面。
T形连接器1040包含圆柱部分1048,其从主体1042延伸并且限定中央空腔1050。在一些示例中,中央空腔1050是圆柱形的并且被配置成接收连接器850、950中的一者。在一些示例中,T形连接器1040包含一个或多个扣件1044,如被接收于孔1030中以将T形连接器1040附接于导体1028的螺栓。在一些示例中,扣件1044延伸于横向于中央空腔1050的轴的方向中。尽管用于通断连接器1010的特定配置被显示,但也可以使用其他配置。
现在参考图11,用于直接驱动系统的通断连接器1010被显示。连接器1150被显示为在直接驱动模式(连接)以及RF基准测量模式(断开)中。在一些示例中,连接器1150包含矩形主体以及沿该矩形主体的相反侧延伸的一个或多个凸缘1155。一个或多个扣件1154将连接器1150相对于导体1028连接和定位。
连接器1150附接于带1120或与其整合。带1120被连接至由支撑托架1125(固定地或可转动地)支撑于一端的电容器1124。电容器1124的相反端被连接至带1127(与托架1170相连)以及电容器1128的一端。电容器的相反端被连接至支撑托架1125。在一些示例中,该托架1170被连接至外壳壁。在一些示例中,带1120可相对于安装托架1125转动。导体1134通过导体1180、1182连接至处理室的部件。
现在参考图12A和12B,用于直接驱动系统的通断连接器1200的另一示例的上部分被显示。取代具有附接部分1029的导体1028的使用,导体1210和T形滑动连接器1220被使用。在一些示例中,导体1210具有圆柱形状。导体1210由T形滑动连接器1220的传导圆柱内空腔可滑动地接收。T形滑动连接器1220包含轴向对齐的第一脚1230与第二脚1232。第一脚1230被连接至导体1210。T形滑动连接器1220包含第三脚1234,该第三脚1234被布置为横向于第一脚1230与第二脚1232。当布置于RF基准测量模式中时,第三脚1234的传导空腔1238被配置为连接至连接器850、950中的一者。
在图12A中,第二脚1232被显示为当布置于直接驱动模式中时被连接至从带1120(而非连接器1150)延伸的配接连接器1242(最佳显示于图12B中)。第三脚1234从连接器850、950断开。
在图12B中,当在RF基准测量模式中时,第二脚1232从配合连接器1242断开,并且第三脚1234连接至连接器850、950中的一者。如可被理解的,T形滑动连接器1220允许在直接驱动模式和RF基准测量模式之间的快速再配置。
现在参考图13,另一通断连接器1300的上部分被显示为在直接驱动模式中。带1304的上部分(相似于1120,但具有不同的端配置)也被显示。带1304包含“L”形端1320,其包含第一部分1322和第二部分1324。在一些示例中,第一部分1322横向于第二部分1324而设置。第一部分1322进一步包含一个或多个搪孔1334以分别接收一个或多个螺纹扣件1330。在图13中,带1304与通断连接器1300连接于一平面中,该平面横向于包含带1304的最广部分的平面(与图11中的平行相反)。
现在参考图14,通断连接器1300的上部分被显示为配置于RF基准测量模式中。带1304的上部分被断开。在一些示例中,带1304远离通断连接器1300的上部分而绕支撑托架1125转动。T形连接器1410包含“L”形端1420,其包含第一部分1422和第二部分1424。在一些示例中,第一部分1422横向于第二部分1424。第一部分1422进一步包含一个或多个搪孔1434以分别接收一个或多个螺纹扣件1430。T形连接器1410包含圆柱部分1452,该圆柱部分1452限定内空腔1454以接收连接器850或950中的一者。在该示例中,扣件以与空腔的轴平行的方向附接(不同于附接于横向方向的其他版本)。调整扣件的位置使得T形连接器1410较容易附接。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面被描述为具有某些特征,但是相对于本公开的任何实施方案描述的那些特征中的任何一个或多个,可以在任何其它实施方案的特征中实现和/或与任何其它实施方案的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方案中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (23)

1.一种衬底处理系统,其包含:
驱动电路,其被配置为以第一RF频率产生RF驱动信号;
RF基准测量电路,其包含具有输入阻抗与输出阻抗的LC电路,其中所述LC电路的输出被配置为连接至RF功率计和虚拟负载;以及
通断连接器,其被配置为将所述驱动电路连接至所述RF基准测量电路与包含所述衬底处理系统的部件的处理室负载中的一者,
其中所述驱动电路的输出阻抗匹配于所述LC电路的输入阻抗;
其中所述驱动电路的所述输出阻抗不匹配于所述RF功率计与所述虚拟负载的阻抗,并且
其中所述LC电路被配置为将所述驱动电路的所述阻抗匹配于所述RF功率计与所述虚拟负载。
2.根据权利要求1所述的衬底处理系统,其中所述RF基准测量电路包含:
第一导体,其连接至所述LC电路的输出;并且
所述RF功率计被连接至所述第一导体。
3.根据权利要求2所述的衬底处理系统,其中所述RF基准测量电路包含:
第二导体,其连接至所述RF功率计的输出;并且
所述虚拟负载被连接至所述第二导体。
4.根据权利要求3所述的衬底处理系统,其中所述驱动电路的所述输出阻抗与所述LC电路的所述输入阻抗是在0.1Ω至10Ω的范围中。
5.根据权利要求4所述的衬底处理系统,其中所述驱动电路的所述输出阻抗与所述LC电路的所述输入阻抗是在0.5Ω至2Ω的范围中。
6.根据权利要求3所述的衬底处理系统,其中所述LC电路的所述输出阻抗与所述RF功率计、所述虚拟负载、所述第一导体和所述第二导体的阻抗是在20Ω至100Ω的范围中。
7.根据权利要求6所述的衬底处理系统,其中所述LC电路的所述输出阻抗与所述RF功率计、所述虚拟负载、所述第一导体和所述第二导体的所述阻抗是在45Ω至55Ω的范围中。
8.根据权利要求3所述的衬底处理系统,其中所述LC电路包含:
第一连接器,其被连接至所述通断连接器;
电感器,其具有被连接至所述第一连接器的一端;
第一电容器,其与所述电感器的相反端串联;以及
第二电容器,其被并联连接在所述电感器的所述相反端与所述第一电容器之间。
9.根据权利要求8所述的衬底处理系统,其中所述第一电容器包含一个或多个陶瓷电容器,其具有连接至所述第二电容器的第一终端以及连接至第二连接器的第二终端。
10.根据权利要求9所述的衬底处理系统,其中所述第二导体包含同轴电缆。
11.根据权利要求8所述的衬底处理系统,其中所述第二电容器包含一个或多个真空电容器。
12.根据权利要求8所述的衬底处理系统,其中所述LC电路的所述输入阻抗是在0.5Ω至2Ω的范围中,并且所述LC电路的所述输出阻抗是在45Ω至55Ω的范围中。
13.根据权利要求8所述的衬底处理系统,其中所述第一RF频率是在1.8MHz至2.2MHz的范围中。
14.根据权利要求3所述的衬底处理系统,其中所述LC电路包含:
第一连接器,其被连接至所述通断连接器;
电感器,其具有被连接至所述第一连接器的一端;
第一电容器,其与所述电感器的相反端串联连接;以及
第二电容器,其与所述第一电容器的相反端并联连接。
15.根据权利要求14所述的衬底处理系统,其中所述第一电容器与所述第二电容器包含一个或多个真空电容器。
16.根据权利要求14所述的衬底处理系统,其中所述第一RF频率是在12.35MHz至13.65MHz的范围中。
17.根据权利要求1所述的衬底处理系统,其中所述通断连接器包含:
托架;
第一导体,其从所述托架的一侧延伸;
第二导体,其从所述托架的相反侧延伸并且连接至所述第一导体;以及
连接器,其能移除地附接于所述第二导体,并且配置为将所述第二导体连接至所述RF基准测量电路,并且不连接至所述驱动电路,
其中所述连接器是T形的,并且包含被连接至所述第二导体的主体、以及从所述主体延伸且包含空腔的圆柱部分,并且
其中所述圆柱部分的所述空腔被配置成连接至所述RF基准测量电路。
18.根据权利要求1所述的衬底处理系统,其中所述通断连接器包含:
托架;
第一导体,其从所述托架的一侧延伸;
第二导体,其从所述托架的相反侧延伸并且连接至所述第一导体;以及
连接器,其被配置成能移除地附接于所述第二导体,并且配置为将所述第二导体连接至所述驱动电路,并且不连接至所述RF基准测量电路,
其中所述连接器包含主体、在所述主体中的搪孔,以及延伸经过所述搪孔以将所述主体连接至所述第二导体的扣件。
19.根据权利要求1所述的衬底处理系统,其中所述通断连接器包含:
托架;
第一导体,其从所述托架的一侧延伸;
第二导体,其从所述托架的相反侧延伸并且连接至所述第一导体;以及
连接器,其被配置成能移除地附接于所述第二导体,并且被配置为将所述第二导体连接至所述RF基准测量电路与所述驱动电路中的一者,
其中所述连接器包含T形连接器。
20.根据权利要求19所述的衬底处理系统,其中所述T形连接器包含分别包含第一和第二空腔的第一脚和第二脚,其中所述第一脚和所述第二脚能滑动地被接收于所述第二导体上,并且其中所述T形连接器包含第三脚,其被布置成横向于所述第一脚与所述第二脚,并且包含空腔,其被配置为连接至所述RF基准测量电路。
21.根据权利要求19所述的衬底处理系统,其中所述T形连接器包含:
主体,其包含搪孔;
扣件,其延伸经过所述搪孔以将所述主体连接至所述第二导体;以及
圆柱部分,其从所述主体延伸并且包含空腔,所述空腔被配置成连接至所述RF基准测量电路。
22.根据权利要求21所述的衬底处理系统,其中所述圆柱部分具有轴,并且其中所述扣件沿横向于所述轴的方向连接。
23.根据权利要求21所述的衬底处理系统,其中所述圆柱部分具有轴,并且其中所述扣件沿平行于所述轴的方向连接。
CN202180022911.2A 2020-03-19 2021-03-19 供给功率以在衬底处理系统中产生等离子体的直接驱动系统用的rf基准测量电路 Pending CN115298799A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062991960P 2020-03-19 2020-03-19
US62/991,960 2020-03-19
PCT/US2021/023081 WO2021188857A1 (en) 2020-03-19 2021-03-19 Rf reference measuring circuit for a direct drive system supplying power to generate plasma in a substrate processing system

Publications (1)

Publication Number Publication Date
CN115298799A true CN115298799A (zh) 2022-11-04

Family

ID=77772203

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180022911.2A Pending CN115298799A (zh) 2020-03-19 2021-03-19 供给功率以在衬底处理系统中产生等离子体的直接驱动系统用的rf基准测量电路

Country Status (6)

Country Link
US (1) US20230113683A1 (zh)
JP (1) JP2023518201A (zh)
KR (1) KR20220154804A (zh)
CN (1) CN115298799A (zh)
TW (1) TW202215481A (zh)
WO (1) WO2021188857A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230411119A1 (en) * 2022-06-17 2023-12-21 Applied Materials, Inc. Smart dynamic load simulator for rf power delivery control system

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6781317B1 (en) * 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US20080179948A1 (en) * 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US9544987B2 (en) * 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
KR101770720B1 (ko) * 2015-11-12 2017-08-23 세메스 주식회사 전력 공급 장치, 전압 데이터 캘리브레이션 방법, 및 그를 이용하는 기판 처리 장치
US11120971B2 (en) * 2017-07-10 2021-09-14 Reno Technologies, Inc. Diagnostics for impedance matching network

Also Published As

Publication number Publication date
JP2023518201A (ja) 2023-04-28
US20230113683A1 (en) 2023-04-13
TW202215481A (zh) 2022-04-16
WO2021188857A1 (en) 2021-09-23
KR20220154804A (ko) 2022-11-22

Similar Documents

Publication Publication Date Title
KR102506820B1 (ko) 주파수 튜닝 보조된 듀얼-레벨 펄싱 (dual-level pulsing) 을 위한 RF 매칭 네트워크의 보조 회로
KR100517036B1 (ko) 플라즈마 처리장치
JP7359789B2 (ja) 基板処理システムのための直接駆動rf回路
KR102539157B1 (ko) 써모커플의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들
US20220199365A1 (en) Dual-frequency, direct-drive inductively coupled plasma source
US6954033B2 (en) Plasma processing apparatus
CN112585715B (zh) 在衬底处理系统中用于无匹配式等离子体源的直接频率调谐
US20230290611A1 (en) Distributed plasma source array
CN115298799A (zh) 供给功率以在衬底处理系统中产生等离子体的直接驱动系统用的rf基准测量电路
US11908665B2 (en) Plasma processing apparatus and measurement method
CN112868084B (zh) 衬底处理系统和用于操作衬底处理系统的方法
US20220230850A1 (en) Voltage and current probe
JP7318114B2 (ja) プラズマ安定性を改善するための同調方法
US20220238360A1 (en) Rf immune sensor probe for monitoring a temperature of an electrostatic chuck of a substrate processing system
CN114761616A (zh) 具有集成式rf滤波器的衬底支撑件
TWI840327B (zh) 射頻匹配電路控制系統及阻抗匹配的方法
CN113795905A (zh) 用于衬底处理系统的滤波箱
WO2023055688A1 (en) Voltage and current probe assemblies for radio frequency current carrying conductors
CN118248518A (zh) 用于降低响应于衬底体电阻率变动的沉积或蚀刻速率变化的rf功率补偿

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination