CN115048887A - 带门控时钟的实现电路的处理方法、验证方法、存储介质 - Google Patents

带门控时钟的实现电路的处理方法、验证方法、存储介质 Download PDF

Info

Publication number
CN115048887A
CN115048887A CN202210709008.XA CN202210709008A CN115048887A CN 115048887 A CN115048887 A CN 115048887A CN 202210709008 A CN202210709008 A CN 202210709008A CN 115048887 A CN115048887 A CN 115048887A
Authority
CN
China
Prior art keywords
input
clock
circuit
latch
processing method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210709008.XA
Other languages
English (en)
Inventor
刘美华
白耿
金玉丰
苏宇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shenzhen Guomicrochip Technology Co ltd
Original Assignee
Shenzhen Guomicrochip Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shenzhen Guomicrochip Technology Co ltd filed Critical Shenzhen Guomicrochip Technology Co ltd
Priority to CN202210709008.XA priority Critical patent/CN115048887A/zh
Publication of CN115048887A publication Critical patent/CN115048887A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Manipulation Of Pulses (AREA)

Abstract

本发明公开了一种带门控时钟的实现电路的处理方法、验证方法、存储介质,其中带门控时钟的实现电路的处理方法,包括:对实现电路进行遍历;找到所有时序元件DFF的时钟输入;判断时钟输入上是否有与门,如果有,判断与门的输入是否满足其中一个输入为锁存器的输出,另一个输入为锁存器的时钟信号取反;如果满足,则将锁存器和与门移除,并生成一个二路选择器MUX,将所述时序元件DFF的原输入以及输出作为所述二路选择器MUX的两路输入,并将所述锁存器的使能信号作为所述二路选择器MUX的使能信号,并将所述二路选择器MUX的输出作为所述时序元件DFF的输入。本发明可以解决因门控时钟导致的参考电路和实现电路形式验证不一致的问题。

Description

带门控时钟的实现电路的处理方法、验证方法、存储介质
技术领域
本发明涉及形式验证的技术领域,尤其涉及一种带门控时钟的实现电路的处理方法,相关的等价验证方法。
背景技术
通用微处理器设计面临着降低功耗的艰巨任务,因为功耗正迅速成为未来技术的瓶颈。降低功耗不仅对于延长便携式系统中的电池寿命,而且对于提高可靠性和降低高性能系统中的散热成本非常重要。
时钟电源是微处理器电源的主要组成部分,这主要是因为时钟被馈送到处理器中的大多数电路块,并且时钟在每个周期进行切换。图1显示了英特尔奔腾Pro和Alpha 21264已发布的电源故障。请注意,两个处理器的总时钟功率以不同的方式计算。奔腾Pro报告说,全局时钟(即全局时钟分布树,不包括管道锁存器和功能单元)占处理器总功耗的7.9%。相反,Alpha 21264报告总(即,全局+本地)时钟功率占处理器总功耗的34.4%。因此,总时钟功率是微处理器总功耗的重要组成部分。
门控时钟(clock gating)是一种众所周知的降低时钟功率的技术。由于各个电路在应用程序内和应用程序之间的使用情况不同,因此并非所有电路都会一直使用,从而带来功率降低的机会。通过使用门控制信号对时钟进行与处理,无论何时电路未使用,clockgating基本上都会禁用电路的时钟,从而避免由于未使用电路的不必要充电和放电而导致的功耗。具体而言,clock gating以流水线锁存器和动态CMOS逻辑电路(例如,整数单元、浮点单元和缓存字线解码器)中消耗的时钟功率为目标,用于实现与静态逻辑相比的速度和面积优势。
在集成电路设计流程中,通常会使用功率优化工具实现低功耗设计的优化,具体表现为创建基于锁存器的门控时钟电路,而这些被新创建的门控时钟电路往往会引起形式验证工具的验证问题,如图2所示。因为待验证的参考电路和实现电路中DFF对应的组合逻辑块不一致,致使验证结果为不等价,而实际参考电路和实现电路的功能是一致的。
这种由于gated clock引起的形式验证工具不准确的问题是当前业界亟待解决的技术问题。
发明内容
为了解决现有技术中因门控时钟引起的参考电路和实现电路验证结果不准确的技术问题,本发明提出了带门控时钟的实现电路的处理方法、验证方法、存储介质。
本发明提出的带门控时钟的实现电路的处理方法,包括:
对实现电路进行遍历;
找到所有时序元件DFF的时钟输入;
判断时钟输入上是否有与门,如果有,判断与门的输入是否满足其中一个输入为锁存器的输出,另一个输入为锁存器的时钟信号取反;如果满足,则将锁存器和与门移除,并生成一个二路选择器MUX,将所述时序元件DFF的原输入以及输出作为所述二路选择器MUX的两路输入,并将所述锁存器的使能信号作为所述二路选择器MUX的使能信号,并将所述二路选择器MUX的输出作为所述时序元件DFF的输入。
本发明提出的形式验证方法,包括:
采用上述技术方案所述的带门控时钟的实现电路的处理方法对实现电路进行处理;
对实现电路和参考电路进行形式验证;
若等价,则实现电路和参考电路的功能一致。
本发明提出的计算机可读存储介质,用于存储计算机程序,所述计算机程序运行时执行上述技术方案所述的带门控时钟的实现电路的处理方法。
附图说明
下面结合实施例和附图对本发明进行详细说明,其中:
图1是英特尔奔腾Pro和Alpha 21264已发布的电源故障。
图2是现有技术中一实施例的参考电路与实现电路的结构示意图。
图3是本发明的中一实施例的实现电路转换后与参考电路一致的结构示意图。
图4是本发明的流程图。
具体实施方式
为了使本发明所要解决的技术问题、技术方案及有益效果更加清楚明白,以下结合附图及实施例,对本发明进行进一步详细说明。应当理解,此处所描述的具体实施例仅用以解释本发明,并不用于限定本发明。
由此,本说明书中所指出的一个特征将用于说明本发明的一个实施方式的其中一个特征,而不是暗示本发明的每个实施方式必须具有所说明的特征。此外,应当注意的是本说明书描述了许多特征。尽管某些特征可以组合在一起以示出可能的系统设计,但是这些特征也可用于其他的未明确说明的组合。由此,除非另有说明,所说明的组合并非旨在限制。
如图3、图4所示,本发明提出的带门控时钟的实现电路的处理方法,先对实现电路进行遍历,然后找到所有时序元件DFF的时钟输入,判断时钟输入上是否有与门,如果当前遍历的时序元件DFF的时钟输入上有与门,判断与门的输入是否满足以下条件:其中一个输入为锁存器的输出,另一个输入为锁存器的时钟信号取反。
如果时序元件的DFF的时钟输入上的与门的输入满足上述条件,则将锁存器和与门移除,并生成一个二路选择器MUX,将时序元件DFF的原输入D以及输出Q作为二路选择器MUX的两路输入,并将锁存器(latch)的使能信号en作为二路选择器MUX的使能信号en,并将二路选择器MUX的输出作为时序元件DFF的输入。
本发明还保护对应的形式验证方法,该形式验证方法采用了上述技术方案的带门控时钟的实现电路的处理方法对实现电路进行处理。
接着对处理后的实现电路和参考电路进行形式验证,如果验证两者等价,则实现电路和参考电路的功能一致。
本发明还保护计算机可读存储介质,该计算机可读存储介质用于存储计算机程序,该计算机程序运行时执行本发明上述技术方案中的带门控时钟的实现电路的处理方法。
以上所述仅为本发明的较佳实施例而已,并不用以限制本发明,凡在本发明的精神和原则之内所作的任何修改、等同替换和改进等,均应包含在本发明的保护范围之内。

Claims (3)

1.一种带门控时钟的实现电路的处理方法,其特征在于,包括:
对实现电路进行遍历;
找到所有时序元件DFF的时钟输入;
判断时钟输入上是否有与门,如果有,判断与门的输入是否满足其中一个输入为锁存器的输出,另一个输入为锁存器的时钟信号取反;如果满足,则将锁存器和与门移除,并生成一个二路选择器MUX,将所述时序元件DFF的原输入以及输出作为所述二路选择器MUX的两路输入,并将所述锁存器的使能信号作为所述二路选择器MUX的使能信号,并将所述二路选择器MUX的输出作为所述时序元件DFF的输入。
2.一种形式验证方法,其特征在于,包括:
采用如权利要求1所述的带门控时钟的实现电路的处理方法对实现电路进行处理;
对实现电路和参考电路进行形式验证;
若等价,则实现电路和参考电路的功能一致。
3.一种计算机可读存储介质,用于存储计算机程序,其特征在于,所述计算机程序运行时执行如权利要求1所述的带门控时钟的实现电路的处理方法。
CN202210709008.XA 2022-06-21 2022-06-21 带门控时钟的实现电路的处理方法、验证方法、存储介质 Pending CN115048887A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210709008.XA CN115048887A (zh) 2022-06-21 2022-06-21 带门控时钟的实现电路的处理方法、验证方法、存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202210709008.XA CN115048887A (zh) 2022-06-21 2022-06-21 带门控时钟的实现电路的处理方法、验证方法、存储介质

Publications (1)

Publication Number Publication Date
CN115048887A true CN115048887A (zh) 2022-09-13

Family

ID=83164149

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210709008.XA Pending CN115048887A (zh) 2022-06-21 2022-06-21 带门控时钟的实现电路的处理方法、验证方法、存储介质

Country Status (1)

Country Link
CN (1) CN115048887A (zh)

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002190528A (ja) * 2000-12-22 2002-07-05 Toshiba Corp ゲーテッドクロック設計支援装置、ゲーテッドクロック設計支援方法及びゲーテッドクロック設計支援プログラム
CN101303711A (zh) * 2008-07-10 2008-11-12 北京中星微电子有限公司 一种现场可编程门阵列中的门控时钟及其实现方法
CN103412990A (zh) * 2013-08-05 2013-11-27 北京航空航天大学 一种多层次协同低功耗设计方法
CN104852712A (zh) * 2015-05-19 2015-08-19 中国电子科技集团公司第四十七研究所 一种基于数据变化的低功耗门控时钟电路结构
CN111539182A (zh) * 2020-07-08 2020-08-14 成都奥卡思微电科技有限公司 一种对组合逻辑电路等价验证的分级方法
CN111610435A (zh) * 2020-05-22 2020-09-01 Oppo广东移动通信有限公司 用于控制时钟门控单元的控制电路、芯片及控制方法
US10782767B1 (en) * 2018-10-31 2020-09-22 Cadence Design Systems, Inc. System, method, and computer program product for clock gating in a formal verification
CN111797588A (zh) * 2020-07-03 2020-10-20 国微集团(深圳)有限公司 一种形式验证比较点匹配方法、系统、处理器及存储器
CN112100949A (zh) * 2020-09-15 2020-12-18 北京士昌鼎科技有限公司 集成电路芯片的自动开发方法及装置、电子设备
CN112257366A (zh) * 2020-10-13 2021-01-22 国微集团(深圳)有限公司 一种用于等价性验证的cnf生成方法及系统
CN113657057A (zh) * 2021-08-16 2021-11-16 上海芷锐电子科技有限公司 一种自动克隆实现数字电路负载分离的方法
CN113919260A (zh) * 2021-09-29 2022-01-11 深圳国微福芯技术有限公司 一种等价性验证引擎的切换方法及系统
CN114611439A (zh) * 2022-03-21 2022-06-10 四川创安微电子有限公司 一种降低电路动态功耗的方法

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002190528A (ja) * 2000-12-22 2002-07-05 Toshiba Corp ゲーテッドクロック設計支援装置、ゲーテッドクロック設計支援方法及びゲーテッドクロック設計支援プログラム
CN101303711A (zh) * 2008-07-10 2008-11-12 北京中星微电子有限公司 一种现场可编程门阵列中的门控时钟及其实现方法
CN103412990A (zh) * 2013-08-05 2013-11-27 北京航空航天大学 一种多层次协同低功耗设计方法
CN104852712A (zh) * 2015-05-19 2015-08-19 中国电子科技集团公司第四十七研究所 一种基于数据变化的低功耗门控时钟电路结构
US10782767B1 (en) * 2018-10-31 2020-09-22 Cadence Design Systems, Inc. System, method, and computer program product for clock gating in a formal verification
CN111610435A (zh) * 2020-05-22 2020-09-01 Oppo广东移动通信有限公司 用于控制时钟门控单元的控制电路、芯片及控制方法
CN111797588A (zh) * 2020-07-03 2020-10-20 国微集团(深圳)有限公司 一种形式验证比较点匹配方法、系统、处理器及存储器
CN111539182A (zh) * 2020-07-08 2020-08-14 成都奥卡思微电科技有限公司 一种对组合逻辑电路等价验证的分级方法
CN112100949A (zh) * 2020-09-15 2020-12-18 北京士昌鼎科技有限公司 集成电路芯片的自动开发方法及装置、电子设备
CN112257366A (zh) * 2020-10-13 2021-01-22 国微集团(深圳)有限公司 一种用于等价性验证的cnf生成方法及系统
CN113657057A (zh) * 2021-08-16 2021-11-16 上海芷锐电子科技有限公司 一种自动克隆实现数字电路负载分离的方法
CN113919260A (zh) * 2021-09-29 2022-01-11 深圳国微福芯技术有限公司 一种等价性验证引擎的切换方法及系统
CN114611439A (zh) * 2022-03-21 2022-06-10 四川创安微电子有限公司 一种降低电路动态功耗的方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
冀禹麟: ""基于形式验证方法的数字LTE芯片逻辑等价性分析及研究"", 《中国优秀硕士学位论文全文数据库》 *
刘冠男、鲍华: ""等价性验证在Soc设计中的应用"", 《中国集成电路设》 *
刘美华、蒋毅等: ""高速电弧放电加工脉冲电源控制系统设计"", 《电加工与模具》 *

Similar Documents

Publication Publication Date Title
US9362910B2 (en) Low clock-power integrated clock gating cell
Das et al. A self-tuning DVS processor using delay-error detection and correction
US7529956B2 (en) Granular reduction in power consumption
Fischer et al. Design solutions for the Bulldozer 32nm SOI 2-core processor module in an 8-core CPU
CN102684646A (zh) 单边沿主从型d触发器
US20120299622A1 (en) Internal Clock Gating Apparatus
CN101350612A (zh) 一种防止门控时钟毛刺的电路
Kunitake et al. Possibilities to miss predicting timing errors in canary flip-flops
CN115048887A (zh) 带门控时钟的实现电路的处理方法、验证方法、存储介质
CN106547514B (zh) 一种基于时钟拉伸技术的高能效二进制加法器
Uppu et al. A high throughput multiplier design exploiting input based statistical distribution in completion delays
Veleski et al. Highly configurable framework for adaptive low power and error-resilient system-on-chip
CN106027024A (zh) 一种带延迟检测的低功耗寄存器单元电路
US9310829B2 (en) System with feature of saving dynamic power of flip-flop banks
Zhang et al. Novel hybrid computing architecture with memristor-based processing-in-memory for data-intensive applications
CN118035006B (zh) 一种三核处理器独立和锁步运行可动态配置的控制系统
Nakabayashi et al. Design and evaluation of variable stages pipeline processor with low-energy techniques
Choi et al. Improved clock-gating control scheme for transparent pipeline
Kang et al. An asynchronous power optimization method for microprocessor based on the event-matching mechanism
Agarwal et al. Automated power gating of registers using CoDeL and FSM branch prediction
Mongiya et al. A Review on Designing of Power and Delay Efficient 10T and 14T SRAM Cell
US7853907B2 (en) Over approximation of integrated circuit based clock gating logic
Subhashini et al. Power optimized datapath units of hybrid embedded core architecture using clock gating technique
Nowosielski et al. Exploring different approximate adder architecture implementations in a 250◦ C SOI technology
US10162922B2 (en) Hybrid clock gating methodology for high performance cores

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20220913

RJ01 Rejection of invention patent application after publication