CN114896931B - 一种基于握手机制的蓝牙收发通路的验证方法及系统 - Google Patents

一种基于握手机制的蓝牙收发通路的验证方法及系统 Download PDF

Info

Publication number
CN114896931B
CN114896931B CN202210529254.7A CN202210529254A CN114896931B CN 114896931 B CN114896931 B CN 114896931B CN 202210529254 A CN202210529254 A CN 202210529254A CN 114896931 B CN114896931 B CN 114896931B
Authority
CN
China
Prior art keywords
data
matlab
data file
abnormal
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202210529254.7A
Other languages
English (en)
Other versions
CN114896931A (zh
Inventor
梅张雄
耿介
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Winner Microeletronics Co ltd
Original Assignee
Beijing Winner Microeletronics Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing Winner Microeletronics Co ltd filed Critical Beijing Winner Microeletronics Co ltd
Publication of CN114896931A publication Critical patent/CN114896931A/zh
Application granted granted Critical
Publication of CN114896931B publication Critical patent/CN114896931B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B17/00Monitoring; Testing
    • H04B17/30Monitoring; Testing of propagation channels
    • H04B17/391Modelling the propagation channel
    • H04B17/3912Simulation models, e.g. distribution of spectral power density or received signal strength indicator [RSSI] for a given geographic region
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B17/00Monitoring; Testing
    • H04B17/10Monitoring; Testing of transmitters
    • H04B17/101Monitoring; Testing of transmitters for measurement of specific parameters of the transmitter or components thereof
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B17/00Monitoring; Testing
    • H04B17/10Monitoring; Testing of transmitters
    • H04B17/15Performance testing
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B17/00Monitoring; Testing
    • H04B17/20Monitoring; Testing of receivers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B17/00Monitoring; Testing
    • H04B17/20Monitoring; Testing of receivers
    • H04B17/29Performance testing
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W4/00Services specially adapted for wireless communication networks; Facilities therefor
    • H04W4/80Services using short range communication, e.g. near-field communication [NFC], radio-frequency identification [RFID] or low energy communication
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/70Reducing energy consumption in communication networks in wireless communication networks

Landscapes

  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Mobile Radio Communication Systems (AREA)

Abstract

本发明提供的一种基于握手机制的蓝牙收发通路的验证方法及系统,通过DPI函数将UVM逻辑仿真平台与数字仿真的Matlab模型连接,在逻辑仿真器中启动与蓝牙模拟电路对应的多个Matlab模型,产生受控随机参数配置到Matlab模型中,使用UVM平台与Matlab模型进行数据文件交互完成蓝牙芯片收发通路的验证。本发明的UVM验证平台与Matlab能够有效协调运作以实现同步参数传递仿真机制,通过数据文件在不同平台之间联合调试验证芯片的功能完成性,降低引入新错误的概率,发挥UVM平台产生受控随机激励提高覆盖率和验证效率的能力;并在模拟电路未完成的情况下,可以对逻辑电路在整个蓝牙系统中的性能进行验证评估。

Description

一种基于握手机制的蓝牙收发通路的验证方法及系统
技术领域
本发明属于芯片逻辑验证技术领域,具体涉及一种基于握手机制的蓝牙收发通路的验证方法及系统。
背景技术
蓝牙是一种可实现固定设备、移动设备和楼宇个人域网之间的短距离数据交换的无线通信技术标准。蓝牙使用2.4G免费频段进行射频传输,在该频段内采用分散式网络结构以及快跳频和短包技术,可以支持点对点及点对多通信。蓝牙通信协议分为传统蓝牙、低功耗蓝牙以及高速蓝牙协议,而不同的蓝牙通信协议以其调制格式支持不同传输速率。蓝牙通信的实现依靠蓝牙芯片,蓝牙芯片开发使用前需要对其通路进行验证,以确定其逻辑电路的正常性,确保蓝牙通信的稳定正常运行。
参考图1,图1为蓝牙芯片进行包的发送接收基本通路,在图1中包括左侧由数字电路实现的4个组成模块:CPU、BUS、Bluetoothbaseband、Bluetoothmodem;右侧由模拟电路实现的4个组成模块:AD/DA、IF、RF、channel。其中,CPU处理器负责运行蓝牙协议的应用层主机层等、Bluetoothbaseband蓝牙基带处理负责对基本蓝牙包进行数据解析、BUS总线部分负责各种数据在基带与主控CPU的传输调度、Bluetoothmodem调制解调负责对发送包按调制方式进行波形产生,对接收的包进行码流识别;AD/DA负责将发送的波形由数字信号转变为模拟信号,接收信号由模拟变数字信号、IF负责对中频信号进行处理、RF部分将信号调制到2.4G的频段中并检测接收信号、channel为模拟整个发送接收的链路的信道模型,在验证过程中需要对信道添加噪声。
现有技术在对图1蓝牙芯片的通道验证过程中,由数字电路实现的各个模块与由模拟电路实现的各个模块验证方法不同。一种方案是:模拟电路部分使用管级电路验证,数字电路部分使用混合信号仿真器验证,该验证方案仿真时间太长,无法完成大批量的验证。另一种方案是:模拟电路部分使用Verilog AMS进行建模验证,数字电路部分使用混合信号仿真器验证,该方案需要对模拟电路部分使用新的语言方法建模,对开发人员要求较高,且使用风险较大,通用性不高。
发明内容
为了解决现有技术中存在的上述问题,本发明提供了一种基于握手机制的蓝牙收发通路的验证方法。本发明要解决的技术问题通过以下技术方案实现:
第一方面,本发明提供的一种基于握手机制的蓝牙收发通路的验证方法,应用于UVM验证平台,UVM验证平台与Matlab验证平台通过DPI相通信,验证方法包括:
接收仿真启动操作,在UVM验证平台中通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态;
其中,matlab模型为蓝牙芯片收发通路中由模拟电路实现的各个组成模块所模拟的功能模型;
UVM验证平台,根据自身对蓝牙芯片由数字电路实现的各个组成模块,在逻辑仿真器中产生受控随机参数通过DPI传递至matlab模型,以使matlab模型在等待配置状态下根据受控随机参数进行配置;
UVM验证平台,对蓝牙芯片由数字电路实现的各个组成模块设置不同层次的模型进行逻辑仿真,待由DA模块所需的发送结果产生后写出第一数据文件,并通过DPI向matlab发送通知消息,以使matlab模型读入第一数据文件,对由模拟电路实现的各个组成模块以及通路设置不同层级的matlab模型进行仿真,写出第二数据文件并生成一个数字信号通过逻辑仿真器通知UVM验证平台;
其中,第二数据文件与第一数据文件存在联调关系;所述第一数据文件通过逻辑转换成为与第二数据文件仿真计算逻辑相同的数据文件;
UVM验证平台,对第二数据文件进行检测确认蓝牙芯片的收发通路是否正常;
结束UVM验证平台以及matlab模型的验证过程。
可选的,在UVM验证平台中通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态包括:
在UVM验证平台的build phase中通过engopen函数生成逻辑仿真器工作参数,并通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态。
可选的,在逻辑仿真器中产生受控随机参数发送至matlab模型包括:
UVM验证平台的TB在逻辑仿真器中产生待发发送包以及用于配置的受控随机参数,通过参数传递函数传递给Matlab模型;
其中,参数传递函数包括enggetarray、engevalstring、engputarray。
可选的,结束UVM验证平台以及matlab模型的验证过程包括:
在UVM验证平台通过DPI的engclose函数关闭matlab的仿真验证过程;
在matlab的仿真验证过程关闭后,结束UVM验证平台的仿真验证过程。
可选的,逻辑仿真器与DPI对应,当逻辑仿真器不同时所需的编译开关不同,则传递至matlab模型所需的DPI库文件不同。
其中,第一数据文件包括数字电路实现部分链路在调频模式下的输出功率、功率密度、载频漂移、在非调频模式下的最大输出功率、邻信道功率、信道通信速率、调制精度、单时隙灵敏度、多时隙灵敏度、交调性能、误码率、转换精度、转化速率;第二数据文件为在第一数据文件下模拟仿真由模拟电路实现的各个组成模块之间的数据,第二数据文件包括:由数字电路实现的各个组成模块至由模拟电路实现的各个组成模块之间的调制精度、调制格式、调制速率、解析速率、解析格式、解析协议、初始载频容、总线传输速率、载干比性能、阻塞性能、最大输出电平、频谱范围、带宽和邻信道功率。
可选的,对第二数据文件进行检测确认蓝牙芯片的收发通路是否正常包括:
检测第二数据文件中的各项数据是否处于正常范围内,如果是则确认蓝牙芯片的收发通路正常;
如果存在一项数据未处于正常范围内,则确定该项数据为异常数据;
对存在异常数据的蓝牙芯片在UVM验证平台验证DPI是否正常,如果并未发生异常,则进一步验证数据接口是否正常;
如果数据接口并未发生异常,则在UVM验证平台中确定第一数据文件是否存在异常数据,如果并未发生异常数据,则确定由模拟电路实现部分组成模块存在异常数据;
如果第一数据文件存在异常数据,则确定数字电路实现部分组成模块存在异常;
对存在异常数据的组成模块部分以筛选模式,筛选存在异常的组成模块;
当对存在异常的组成模块的模拟数据偏离正常数据距离大于可控距离范围或模拟数据分布不满足正常数据分布,则确定蓝牙芯片的收发通路不正常。
可选的,对存在异常数据的组成模块部分以筛选模式,筛选可能存在异常的组成模块包括:
如果第二数据文件在UVM验证平台上存在异常数据,则排除第一数据文件异常对第二数据文件的干扰;
在排除第一数据文件异常对第二数据文件的干扰后,在模拟电路部分排除组成模块联调之间的干扰,确定第二数据文件中异常数据的第一具体参数;
当所述第一具体参数为在调频模式下的输出功率、功率密度或在非调频模式下的最大输出功率,则确定RF组成模块可能存在异常;
当所述第一具体参数为邻信道功率、信道通信速率或误码率,则确定channel组成模块可能存在异常;
当所述第一具体参数为单时隙灵敏度、多时隙灵敏度、交调性能、载频漂移或调制精度,则确定RF组成模块可能存在异常;
当所述第一具体参数为转换精度或转化速率时,则确定AD/DA组成模块可能存在异常;
如果第二数据文件异常是因为第一数据异常造成的,则联合其他matlab模型在matlab平台上联合调试,以确认第一数据文件是否为模拟部分组成模块联调情况下产生的异常;
如果第一数据文件不是在联调情况下产生的异常,则在matlab平台上排除因为matlab模型之间对冲造成的异常;
在排除掉因为matlab模型之间对冲造成的异常后,确认第一数据文件中存在异常数据的第二具体参数;
当所述第二具体参数为调制精度、调制格式、调制速率、则确定Bluetoothmodem组成模块可能存在异常;
当所述第二具体参数为频谱范围、带宽或总线传输速率,则确定BUS总线组成模块可能存在异常;
当所述第二具体参数为初始载频容、载干比性能、最大输出电平、或阻塞性能,则确定CPU组成模块可能存在异常;
当所述第二具体参数为解析速率、解析格式、解析协议或邻信道功率时,则确定Bluetoothbaseband组成模块可能存在异常。
在对存在异常数据的组成模块部分以筛选模式,筛选存在异常的组成模块之后,所述验证方法还包括:
对可能异常的组成模块使用其对应的DPI测试函数进行仿真,以测试不与其他组成模块结合时的基础性能是否正常;
如果组成模块不与其他组成模块结合时的基础性能正常,则确认可能异常的组成模块之间形成联合调试异常;
将存在联合调试异常的组成模块之间联合调试的调试节点插入调试函数程序,以确定具体存在异常的组成模块。
第二方面,本发明提供的一种基于握手机制的蓝牙收发通路的验证系统,该系统由UVM验证平台与Matlab验证平台通过DPI联合组成,
接收仿真启动操作,在UVM验证平台中通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态;
其中,matlab模型为蓝牙芯片收发通路中由模拟电路实现的各个组成模块所模拟的功能模型;一个功能模块对应一个matlab模型,多个matlab模型之间存在关联关系,以实现模拟仿真模拟电路部分的全部功能;
UVM验证平台,根据自身对蓝牙芯片由数字电路实现的各个组成模块,在逻辑仿真器中产生受控随机参数通过DPI传递至matlab模型;
Matlab验证平台,接收受控随机参数,以使自身的matlab模型在等待配置状态下根据受控随机参数进行配置;
UVM验证平台,对蓝牙芯片由数字电路实现的各个组成模块设置不同层次的模型进行逻辑仿真,待由DA模块所需的发送结果产生后写出第一数据文件,并通过DPI向matlab发送通知消息;
Matlab验证平台,接收通知消息后读入第一数据文件,对由模拟电路实现的各个组成模块以及通路设置不同层级的matlab模型进行仿真,写出第二数据文件并生成一个数字信号通过逻辑仿真器通知UVM验证平台;
其中,第二数据文件与第一数据文件存在联调关系;所述第一数据文件通过逻辑转换成为与第二数据文件仿真计算逻辑相同的数据文件;
UVM验证平台,对第二数据文件进行检测确认蓝牙芯片的收发通路是否正常;
结束UVM验证平台以及matlab模型的验证过程。
本发明提供的一种基于握手机制的蓝牙收发通路的验证方法及系统,通过DPI函数将UVM逻辑仿真平台与数字仿真的Matlab模型连接,在逻辑仿真器中启动Matlab模型,将逻辑仿真器中产生的受控随机参数配置到Matlab模型中;将逻辑仿真器产生的数字部分发送数据传递给Matlab模型,在Matlab模型运行完毕后将接收回的数据再回传给逻辑仿真器,从而UVM逻辑仿真平台完成蓝牙芯片收发通路的验证过过程。本发明相比于现有技术,可以同时使得UVM验证平台与Matlab能够有效协调运作以实现同步参数传递仿真机制,通过数据文件在不同平台之间联合调试验证芯片的功能完成性,可以降低引入新错误的概率,可以最大限度发挥UVM平台产生受控随机激励提高覆盖率和验证效率的能力;并且可以在模拟电路未完成的情况下,对逻辑电路在整个蓝牙通信系统中的性能表现进行验证评估。本发明的验证方法也可以扩展应用于其他通信系统。
以下将结合附图及实施例对本发明做进一步详细说明。
附图说明
图1是蓝牙芯片进行包的发送接收基本通路的示意图;
图2是本发明实施例提供的一种基于握手机制的蓝牙收发通路的验证方法的流程示意图;
图3是UVM验证平台的框图;
图4是本发明实施例提供的蓝牙芯片通路的验证方法验证过程示意图;
图5是本发明实施例提供实现步骤S5的流程示意图;
图6是本发明实施例提供实现步骤S56的流程示意图;
图7是本发明实施例提供进一步确认异常的组成模块的流程示意图。
具体实施方式
下面结合具体实施例对本发明做进一步详细的描述,但本发明的实施方式不限于此。
如图2所示,本发明提供的一种基于握手机制的蓝牙收发通路的验证方法,应用于UVM验证平台,其特征在于,UVM验证平台与Matlab验证平台通过DPI相通信,验证方法包括:
S1,接收仿真启动操作,在UVM验证平台中通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态;
其中,matlab模型为蓝牙芯片收发通路中由模拟电路实现的各个组成模块所模拟的功能模型;
本发明在UVM验证平台的build phase中通过engopen函数生成逻辑仿真器工作参数,并通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态。在等待配置状态下由模拟电路实现的各个组成模块所模拟的matlab模型等待配置参数,以完成自身功能模型与UVM验证平台中各个组成模块的仿真同步执行。
UVM是芯片验证业界常用的验证工具,在该验证平台上可创建坚实、可重用、具互操作性的验证组件和验证功能。参考图3,图3为UVM验证平台的框图,UVM验证平台提供基于SystemVerilog语言开发的一套库函数,工程师通过调用库可以省去自己从零开始开发验证环境的麻烦。而现有大部分数字逻辑电路的验证平台都是基于UVM技术,一般IP提供商的自带验证环境也大多基于UVM技术。Matlab是一种用于算法开发、数据可视化、数据分析以及数值计算的专业编程语言和工具包。多年来它被应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析。尤其在通信领域,它在系统的设计仿真验证优化方面基本无可替代,本申请中需要根据蓝牙芯片各个组成模块的功能模拟一个matlab模型,而为了实现蓝牙芯片由模拟电路实现的各个组成模块的功能,必须先保证Matlab的模型设计正常,才能进行下一步的电路级实现。
S2,UVM验证平台,根据自身对蓝牙芯片由数字电路实现的各个组成模块,在逻辑仿真器中产生受控随机参数通过DPI传递至matlab模型,以使matlab模型在等待配置状态下根据受控随机参数进行配置;
其中,UVM验证平台的TB在逻辑仿真器中产生待发发送包以及用于配置的受控随机参数,通过参数传递函数传递给Matlab模型;参数传递函数包括enggetarray、engevalstring、engputarray。逻辑仿真器与DPI对应,当逻辑仿真器不同时所需的编译开关不同,则传递至matlab模型所需的DPI库文件不同。
值得说明的是:对于UVM与Matlab消息通过传递的DPI函数实现两边的同步机制。这里可以使用的参数传递函数包括enggetarray、 engevalstring、engputarray等,具体可以参考Matlab应用手册。另外对于不同的逻辑仿真器调用Matlab模型,需要不同的编译开关以及DPI库文件,这些可以参考相应的仿真器应用手册。
S3,UVM验证平台,对蓝牙芯片由数字电路实现的各个组成模块设置不同层次的模型进行逻辑仿真,待由DA模块所需的发送结果产生后写出第一数据文件,并通过DPI向matlab发送通知消息,以使matlab模型读入第一数据文件,对由模拟电路实现的各个组成模块以及通路设置不同层级的matlab模型进行仿真,写出第二数据文件并生成一个数字信号通过逻辑仿真器通知UVM验证平台;
其中,第二数据文件与第一数据文件存在联调关系;所述第一数据文件通过逻辑转换成为与第二数据文件仿真计算逻辑相同的数据文件;
值得说明的是:第二数据文件是根据第一数据文件产生的,而模拟电路与数字电路之间逻辑不同,关联以及耦合很低,而一个蓝牙芯片内部功能之间耦合度较高,所以在模拟电路与数字电路之间除了模数转化之外,还需要考虑计算过程中数据之间的逻辑性,这样可能节省在后续验证过程中的时间。
其中,第一数据文件包括数字电路实现部分链路在调频模式下的输出功率、功率密度、载频漂移、在非调频模式下的最大输出功率、邻信道功率、信道通信速率、调制精度、单时隙灵敏度、多时隙灵敏度、交调性能、误码率、转换精度、转化速率;
值得说明的是,鉴于可以在C程序中通过Matlab engine引擎调用Matlab,而SystemVerilog也可以通过DPI与C程序进行通信,那么在UVM验证平台中通过DPI调用Matlab engine也是可以的。同样UVM平台产生的仿真参数,也可以通过DPI传递给Matlabengine。而对于具体的发送接收数据,本发明可以用DPI传递,而通过数据文件的读写来实现可以使得调试更加方便。
S4,UVM验证平台,对第二数据文件进行检测确认蓝牙芯片的收发通路是否正常;
其中,第二数据文件为在第一数据文件下模拟仿真由模拟电路实现的各个组成模块之间的数据,第二数据文件包括:由数字电路实现的各个组成模块至由模拟电路实现的各个组成模块之间的调制精度、调制格式、调制速率、解析速率、解析格式、解析协议、初始载频容、总线传输速率、载干比性能、阻塞性能、最大输出电平、频谱范围、带宽和邻信道功率。
S5,结束UVM验证平台以及matlab模型的验证过程。
本步骤中,在UVM验证平台通过DPI的engclose函数关闭matlab的仿真验证过程;在matlab的仿真验证过程关闭后,结束UVM验证平台的仿真验证过程。
参考图4,图4表示了本发明进行蓝牙芯片通路的验证方法,实现逻辑电路与Matlab模型的协同仿真以及过程中使用的DPI函数。本发明的验证方法第一步,在UVM的build phase中先通过engopen函数启动matlab。第二步,把TB的config的参数通过engevalstring函数传递给Matlab。数字模块开始进行逻辑仿真,待DAC需要的发送结果产生后,通知Matlab,开始进行模拟电路以及信道的仿真。ADC产生的数字信号得到后,逻辑仿真器检测到再进行数字部分的接收处理,检测结果正常或者错误,然后engclose关闭matlab仿真,同时结束UVM仿真。
本发明提供的一种基于握手机制的蓝牙收发通路的验证方法,通过DPI函数将UVM逻辑仿真平台与数字仿真的Matlab模型连接,在逻辑仿真器中启动Matlab模型,将逻辑仿真器中产生的受控随机参数配置到Matlab模型中;将逻辑仿真器产生的数字部分发送数据传递给Matlab模型,在Matlab模型运行完毕后将接收回的数据再回传给逻辑仿真器,从而UVM逻辑仿真平台完成蓝牙芯片收发通路的验证过过程。本发明相比于现有技术,可以同时使得UVM验证平台与Matlab能够有效协调运作以实现同步参数传递仿真机制,通过数据文件在不同平台之间联合调试验证芯片的功能完成性,可以降低引入新错误的概率,可以最大限度发挥UVM平台产生受控随机激励提高覆盖率和验证效率的能力;并且可以在模拟电路未完成的情况下,对逻辑电路在整个蓝牙通信系统中的性能表现进行验证评估。本发明的验证方法也可以扩展应用于其他通信系统。
如图5所示,作为本发明一种可选的实施方式,对第二数据文件进行检测确认蓝牙芯片的收发通路是否正常包括:
S51,检测第二数据文件中的各项数据是否处于正常范围内,如果是则确认蓝牙芯片的收发通路正常;
值得说明的是:第二数据文件是由每个组成模块的matlab模型,根据第一数据文件以及受控随机参数对蓝牙的模拟电路部分仿真而生成的,因为该第一数据文件中如果各项数据处于正常范围,表示蓝牙正常工作并未出现数据异常。而模拟仿真常常是多次的,受控随机参数改变,第二数据文件中的数据也会随之改变,如此实现多次模拟测试以确定蓝牙芯片的收发通道正常。
S52,如果存在一项数据未处于正常范围内,则确定该项数据为异常数据;
值得说明的是,如果第二数据文件中存在任一一项数据不再正常范围内,则可以确定蓝牙芯片可能出现异常,但是也有可能是测试软件和测试环境以及随机误差造成的干扰,需要进一步确认是否是蓝牙芯片的通路异常。
S53,对存在异常数据的蓝牙芯片在UVM验证平台验证DPI是否正常,如果并未发生异常,则进一步验证数据接口是否正常;
值得说明的是:由于本申请是有DPI函数传递UVM的数据与matlab的数据,因此需要规避由于DPI函数调用错误、函数语句错误、函数条件、函数参数输入错误造成的干扰,这些干扰不是由蓝牙芯片通路有异常而造成的,反而会造成蓝牙芯片的通路异常。因此本申请需要确定DPI函数是否出现异常,这个过程可以由测试人员检测DPI函数代码去确认,也可以由UVM将调用的DPI函数与基础DPI函数进行对比,确认是否出现问题。当然数据接口也是同理,首先需要规避掉外在异常对蓝牙芯片测试造成的干扰,避免开发人员因为错误定位异常位置,造成研发周期延长。如此可以提高模拟测试蓝牙芯片的效率以及准确性。
S54,如果数据接口并未发生异常,则在UVM验证平台中确定第一数据文件是否存在异常数据,如果并未发生异常数据,则确定由模拟电路实现部分组成模块存在异常数据;
值得说明的是:如果数据接口并未发生异常,则需要确认是否是因为第一数据文件造成的第二数据文件异常,即数字电路部分并未发生异常,那么可以确定时模拟电路部分出现异常,这样确认可以快速定位到出现异常数据的组成模块部分。
S55,如果第一数据文件存在异常数据,则确定数字电路实现部分组成模块存在异常;
值得说明的是:如果第一数据文件中存在异常数据,则可以暂定数字电路部分模块存在异常,而先不用确定模拟电路部分。那是因为模拟电路相比于数字电路更容易收到外接干扰,而数字电路更容易快速的定位出位置,这样可以减少测试人员反复测试开发的时间,降低研发周期。
S56,对存在异常数据的组成模块部分以筛选模式,筛选可能存在异常的组成模块;
值得说明的是:无论是模拟电路部分还是数字电路部分,一旦存在异常,大部分不可能是所有组成模块都出现异常,常常是一个组成模块异常造成整个通路的数据出现大幅度摆动。因此需要筛选出存在异常的组成模块,这样可以提前重新改变模拟仿真测试的参数以及模型,以重新进行模拟仿真,快速完成验证。
S57,当对存在异常的组成模块的模拟数据偏离正常数据距离大于可控距离范围或模拟数据分布不满足正常数据分布,则确定蓝牙芯片的收发通路不正常。
值得说明的是:确定出存在异常的组成模块后,首先需要确认该异常的组成模块是否是异常的,如果该异常的组成模块的数据幅度摆动较大,即便改变模拟仿真的参数或模型后,数据幅度改变还是太大,则可以确定蓝牙芯片的收发通路确实不正常。
如图6所示,作为本发明一种可选的实施方式,对存在异常数据的组成模块部分以筛选模式,筛选可能存在异常的组成模块包括:
S61,如果第二数据文件在UVM验证平台上存在异常数据,则排除第一数据文件异常对第二数据文件的干扰;
S62,在排除第一数据文件异常对第二数据文件的干扰后,在模拟电路部分排除组成模块联调之间的干扰,确定第二数据文件中异常数据的第一具体参数;
值得说明的是:如果第一数据文件异常,在对模拟电路部分调试时有可能造成第二数据异常。在筛选存在可能异常的组成模块时,首先需要确定可能存在异常的数据发生在模拟电路部分还是数字电路部分,这样可以快速的确定来源。
S63,当所述第一具体参数为在调频模式下的输出功率、功率密度或在非调频模式下的最大输出功率,则确定RF组成模块可能存在异常;当所述第二具体参数为邻信道功率、信道通信速率或误码率,则确定channel组成模块可能存在异常;当所述第一具体参数为单时隙灵敏度、多时隙灵敏度、交调性能、载频漂移或调制精度,则确定RF组成模块可能存在异常;当所述第一具体参数为转换精度或转化速率时,则确定AD/DA组成模块可能存在异常;
S64,如果第二数据文件异常是因为第一数据异常造成的,则联合其他matlab模型在matlab平台上联合调试,以确认第一数据文件是否为模拟部分组成模块联调情况下产生的异常;
得说明的是:参考图1,蓝牙芯片左右部分的电路各自存在4个组成模块,首先需要排除掉模拟电路部分是不是因为联合调试使得第一数据文件发生异常。可以通过每次改变一个组成模块的仿真模型参数,保留其他组成模块的仿真模型参数不变,确认是否是联合调试发生异常。
S65,如果第一数据文件不是在联调情况下产生的异常,则在matlab平台上排除因为matlab模型之间对冲造成的异常;
值得说明的是:一种异常情况是有可能因为两个组成模块之间存在一些对冲,这样每次保持两个组成模块的仿真模型参数不变,改变其他组成模块的参数,这样可以与改变一个组成模块的仿真模型参数的情况进行对比,确认是否是由于对冲现象联合调试异常。每次改变三个组成模块的仿真模型参数的方式,与改变两个组成模块的仿真模型参数的以依次确认;也不能排除存在三个组成模块之间联合模拟仿真刚好抵消一个异常的组成模块造成的数据异常,如果多次出现异常的组成模块不是同一个,很有可能出现单个组成模块造成的异常,可以排除是联合模拟仿真造成的异常需要单独进行模拟测试。
S66,在排除掉因为matlab模型之间对冲造成的异常后,确认第一数据文件中存在异常数据的第二具体参数;
S67,当所述第二具体参数为调制精度、调制格式、调制速率、则确定Bluetoothmodem组成模块可能存在异常;当所述第二具体参数为频谱范围、带宽或总线传输速率,则确定BUS总线组成模块可能存在异常;当所述第二具体参数为初始载频容、载干比性能、最大输出电平、或阻塞性能,则确定CPU组成模块可能存在异常;当所述第二具体参数为解析速率、解析格式、解析协议或邻信道功率时,则确定Bluetoothbaseband组成模块可能存在异常。
如图7所示,作为本发明一种可选的实施方式,在对存在异常数据的组成模块部分以筛选模式,筛选存在异常的组成模块之后,所述验证方法还包括:
S71,对可能异常的组成模块使用其对应的DPI测试函数进行仿真,以测试不与其他组成模块结合时的基础性能是否正常;
值得说明的是:单独测试过程,如果该组成模块时模拟电路部分,则需要对该组成模块对应的matlab模型进行模拟仿真,如果是数字电路部分,则需要在UVM上进行模拟仿真。如果刚好组成模块位于不同的电路部分,则两个平台测试过程中需要调用DPI函数传递双方的测试结果和模拟参数,进行对比分析,如果只单独位于其中一个,也需要传递双方的模型仿真情况,以验证独立测试验证是否存在大量异常数据产生,降低反复验证的次数。
S72,如果组成模块不与其他组成模块结合时的基础性能正常,则确认可能异常的组成模块之间形成联合调试异常;
值得说明的是:如果组成模块单独模拟仿真测试的基础性能是正常的,则该组成模块大概率自身功能不存在异常的,存在异常是联合调试过程中出现的问题,则需要一一定位哪个联合调节阶段出现问题,以快速定位出问题所在。
S73,将存在联合调试异常的组成模块之间联合调试的调试节点插入调试函数程序,以确定具体存在异常的组成模块。
值得说明的是:为了快速定位出问题所在,可以在联合调试的每个组成模块的节点处插入调试函数程序,该调试函数程序是确认节点前的通路是否正常,这样可以获知出现联合模拟调试的节点所在,便于研发人员分析检查,重新修改蓝牙电路。
本发明提供的一种基于握手机制的蓝牙收发通路的验证系统,该系统由UVM验证平台与Matlab验证平台通过DPI联合组成,
接收仿真启动操作,在UVM验证平台中通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态;
其中,matlab模型为蓝牙芯片收发通路中由模拟电路实现的各个组成模块所模拟的功能模型;一个功能模块对应一个matlab模型,多个matlab模型之间存在关联关系,以实现模拟仿真模拟电路部分的全部功能;
UVM验证平台,根据自身对蓝牙芯片由数字电路实现的各个组成模块,在逻辑仿真器中产生受控随机参数通过DPI传递至matlab模型;
Matlab验证平台,接收受控随机参数,以使自身的matlab模型在等待配置状态下根据受控随机参数进行配置;
UVM验证平台,对蓝牙芯片由数字电路实现的各个组成模块设置不同层次的模型进行逻辑仿真,待由DA模块所需的发送结果产生后写出第一数据文件,并通过DPI向matlab发送通知消息;
Matlab验证平台,接收通知消息后读入第一数据文件,对由模拟电路实现的各个组成模块以及通路设置不同层级的matlab模型进行仿真,写出第二数据文件并生成一个数字信号通过逻辑仿真器通知UVM验证平台;
其中,第二数据文件与第一数据文件存在联调关系;所述第一数据文件通过逻辑转换成为与第二数据文件仿真计算逻辑相同的数据文件;
UVM验证平台,对第二数据文件进行检测确认蓝牙芯片的收发通路是否正常;
结束UVM验证平台以及matlab模型的验证过程。
此外,术语“第一”、“第二”仅用于描述目的,而不能理解为指示或暗示相对重要性或者隐含指明所指示的技术特征的数量。由此,限定有“第一”、“第二”的特征可以明示或者隐含地包括一个或者更多个该特征。在本发明的描述中,“多个”的含义是两个或两个以上,除非另有明确具体的限定。
以上内容是结合具体的优选实施方式对本发明所作的进一步详细说明,不能认定本发明的具体实施只局限于这些说明。对于本发明所属技术领域的普通技术人员来说,在不脱离本发明构思的前提下,还可以做出若干简单推演或替换,都应当视为属于本发明的保护范围。

Claims (9)

1.一种基于握手机制的蓝牙收发通路的验证方法,应用于通用验证方法学UVM验证平台,其特征在于,UVM验证平台与matlab验证平台通过直接编程接口DPI相通信,所述验证方法包括:
接收仿真启动操作,在UVM验证平台中通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态;
其中,所述matlab模型为模拟组成模块的功能所形成的模型,所述组成模块为蓝牙芯片收发通路中由模拟电路实现的模块;一个组成模块对应一个matlab模型,多个matlab模型之间存在关联关系,以实现模拟仿真模拟电路部分的全部功能;
UVM验证平台,对蓝牙芯片由数字电路实现的各个组成模块进行逻辑仿真,在逻辑仿真器中产生受控随机参数,并通过DPI传递至matlab模型,以使matlab模型在等待配置状态下根据所述受控随机参数进行配置;
UVM验证平台,对蓝牙芯片由数字电路实现的各个组成模块设置不同层次的模型进行逻辑仿真,待由DA模块所需的发送结果产生后写出第一数据文件,并通过DPI向matlab模型发送通知消息,以使matlab模型读入逻辑转换后的第一数据文件,对由模拟电路实现的各个组成模块以及通路设置不同层级的matlab模型进行仿真,写出第二数据文件并生成一个数字信号通过逻辑仿真器通知UVM验证平台;
其中,第二数据文件与第一数据文件存在联调关系;所述第一数据文件通过DPI逻辑转换成为与第二数据文件仿真计算逻辑相同的数据文件;
UVM验证平台,对所述第二数据文件进行检测确认蓝牙芯片的收发通路是否正常;
结束UVM验证平台以及matlab模型的验证过程;
对所述第二数据文件进行检测确认蓝牙芯片的收发通路是否正常包括:
检测所述第二数据文件中的各项数据是否处于正常范围内,如果是则确认所述蓝牙芯片的收发通路正常;
如果存在一项数据未处于正常范围内,则确定该项数据为异常数据;
对存在异常数据的蓝牙芯片在UVM验证平台验证DPI是否正常,如果并未发生异常,则进一步验证数据接口是否正常;
如果数据接口并未发生异常,则在UVM验证平台中确定第一数据文件是否存在异常数据,如果并未存在异常数据,则确定由模拟电路实现部分组成模块存在异常数据;
如果第一数据文件存在异常数据,则确定数字电路实现部分组成模块存在异常;
对存在异常数据的组成模块部分以筛选模式,筛选可能异常的组成模块;
当存在异常的组成模块的模拟数据偏离正常数据的距离大于可控距离范围或模拟数据分布不满足正常数据分布,则确定所述蓝牙芯片的收发通路不正常。
2.根据权利要求1所述的验证方法,其特征在于,所述在UVM验证平台中通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态包括:
在UVM验证平台的build phase中通过engopen函数生成逻辑仿真器工作参数,并通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态。
3.根据权利要求1所述的验证方法,其特征在于,在逻辑仿真器中产生受控随机参数发送至matlab模型包括:
UVM验证平台的TB在逻辑仿真器中产生待发发送包以及用于配置的受控随机参数,通过参数传递函数传递给matlab模型;
其中,参数传递函数包括enggetarray、engevalstring、engputarray。
4.根据权利要求1所述的验证方法,其特征在于,所述结束UVM验证平台以及matlab模型的验证过程包括:
在UVM验证平台通过DPI的engclose函数关闭matlab的仿真验证过程;
在matlab的仿真验证过程关闭后,结束UVM验证平台的仿真验证过程。
5.根据权利要求1所述的验证方法,其特征在于,所述逻辑仿真器与DPI对应,当逻辑仿真器不同时所需的编译开关不同,则传递至matlab模型所需的DPI库文件不同。
6.根据权利要求1-5任一项所述的验证方法,其特征在于,第一数据文件包括数字电路实现部分链路在调频模式下的输出功率、功率密度和载频漂移,以及在非调频模式下的最大输出功率、邻信道功率、信道通信速率、调制精度、单时隙灵敏度、多时隙灵敏度、交调性能、误码率、转换精度和转化速率;第二数据文件为在第一数据文件下由模拟电路实现的各个组成模块之间的数据,第二数据文件包括:由模拟电路实现的各个组成模块之间的调制精度、调制格式、调制速率、解析速率、解析格式、解析协议、初始载频容、总线传输速率、载干比性能、阻塞性能、最大输出电平、频谱范围、带宽和邻信道功率。
7.根据权利要求1所述的验证方法,其特征在于,所述对存在异常数据的组成模块部分以筛选模式,筛选可能异常的组成模块包括:
如果第二数据文件在UVM验证平台上存在异常数据,则排除第一数据文件异常对第二数据文件的干扰;
在排除第一数据文件异常对第二数据文件的干扰后,在模拟电路部分排除组成模块联调之间的干扰,确定第二数据文件中异常数据的第一具体参数;
当所述第一具体参数为在调频模式下的输出功率、功率密度或在非调频模式下的最大输出功率,则确定RF组成模块可能存在异常;
当所述第一具体参数为邻信道功率、信道通信速率或误码率,则确定channel组成模块可能存在异常;
当所述第一具体参数为单时隙灵敏度、多时隙灵敏度、交调性能、载频漂移或调制精度,则确定RF组成模块可能存在异常;
当所述第一具体参数为转换精度或转化速率时,则确定AD/DA组成模块可能存在异常;
如果第二数据文件异常是因为第一数据异常造成的,则联合其他matlab模型在matlab验证平台上联合调试,以确认第一数据文件是否为模拟部分组成模块联调情况下产生的异常;
如果第一数据文件不是在联调情况下产生的异常,则在matlab验证平台上排除因为matlab模型之间对冲造成的异常;
在排除掉因为matlab模型之间对冲造成的异常后,确认第一数据文件中存在异常数据的第二具体参数;
当所述第二具体参数为调制精度、调制格式、调制速率、则确定Bluetoothmodem组成模块可能存在异常;
当所述第二具体参数为频谱范围、带宽或总线传输速率,则确定BUS总线组成模块可能存在异常;
当所述第二具体参数为初始载频容、载干比性能、最大输出电平、或阻塞性能,则确定CPU组成模块可能存在异常;
当所述第二具体参数为解析速率、解析格式、解析协议或邻信道功率时,则确定Bluetoothbaseband组成模块可能存在异常;
其中,RF组成模块将信号调制到2.4G的频段中并检测接收信号;channel组成模块为模拟整个发送接收的链路的信道模型,在验证过程中需要对信道添加噪声;AD/DA组成模块负责将发送信号的波形由数字信号转变为模拟信号,接收信号由模拟变数字信号;Bluetoothmodem组成模块负责对发送包按调制方式进行波形产生,对接收的包进行码流识别;BUS总线组成模块负责各种数据在基带与主控CPU的传输调度;CPU组成模块负责运行蓝牙协议的应用层主机层;Bluetoothbaseband组成模块负责对基本蓝牙包进行数据解析。
8.根据权利要求7所述的验证方法,其特征在于,在对存在异常数据的组成模块部分以筛选模式,筛选存在异常的组成模块之后,所述验证方法还包括:
对可能异常的组成模块使用其对应的DPI测试函数进行仿真,以测试不与其他组成模块结合时的基础性能是否正常;
如果组成模块不与其他组成模块结合时的基础性能正常,则确认可能异常的组成模块之间形成联合调试异常;
将存在联合调试异常的组成模块之间联合调试的调试节点插入调试函数程序,以确定具体存在异常的组成模块。
9.一种基于握手机制的蓝牙收发通路的验证系统,其特征在于,该系统由通用验证方法学UVM验证平台与matlab验证平台通过直接编程接口DPI联合组成,
接收仿真启动操作,在UVM验证平台中通过逻辑仿真器启动matlab模型,以使matlab模型处于等待配置状态;
其中,所述matlab模型为模拟组成模块的功能所形成的模型,所述组成模块为蓝牙芯片收发通路中由模拟电路实现的模块;一个组成模型对应一个matlab模型,多个matlab模型之间存在关联关系,以实现模拟仿真模拟电路部分的全部功能;
UVM验证平台,对蓝牙芯片由数字电路实现的各个组成模块进行逻辑仿真,在逻辑仿真器中产生受控随机参数,并通过DPI传递至matlab模型;
matlab验证平台,接收所述受控随机参数,以使自身的matlab模型在等待配置状态下根据所述受控随机参数进行配置;
UVM验证平台,对蓝牙芯片由数字电路实现的各个组成模块设置不同层次的模型进行逻辑仿真,待由DA模块所需的发送结果产生后写出第一数据文件,并通过DPI向matlab发送通知消息;
matlab验证平台,接收通知消息后读入逻辑转换后的第一数据文件,对由模拟电路实现的各个组成模块以及通路设置不同层级的matlab模型进行仿真,写出第二数据文件并生成一个数字信号通过逻辑仿真器通知UVM验证平台;
其中,第二数据文件与第一数据文件存在联调关系;所述第一数据文件通过DPI逻辑转换成为与第二数据文件仿真计算逻辑相同的数据文件;
UVM验证平台,对所述第二数据文件进行检测确认蓝牙芯片的收发通路是否正常;
结束UVM验证平台以及matlab模型的验证过程;
对所述第二数据文件进行检测确认蓝牙芯片的收发通路是否正常包括:
检测所述第二数据文件中的各项数据是否处于正常范围内,如果是则确认所述蓝牙芯片的收发通路正常;
如果存在一项数据未处于正常范围内,则确定该项数据为异常数据;
对存在异常数据的蓝牙芯片在UVM验证平台验证DPI是否正常,如果并未发生异常,则进一步验证数据接口是否正常;
如果数据接口并未发生异常,则在UVM验证平台中确定第一数据文件是否存在异常数据,如果并未存在异常数据,则确定由模拟电路实现部分组成模块存在异常数据;
如果第一数据文件存在异常数据,则确定数字电路实现部分组成模块存在异常;
对存在异常数据的组成模块部分以筛选模式,筛选可能异常的组成模块;
当存在异常的组成模块的模拟数据偏离正常数据的距离大于可控距离范围或模拟数据分布不满足正常数据分布,则确定所述蓝牙芯片的收发通路不正常。
CN202210529254.7A 2022-05-12 2022-05-16 一种基于握手机制的蓝牙收发通路的验证方法及系统 Active CN114896931B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN202210512399 2022-05-12
CN2022105123996 2022-05-12

Publications (2)

Publication Number Publication Date
CN114896931A CN114896931A (zh) 2022-08-12
CN114896931B true CN114896931B (zh) 2023-09-01

Family

ID=82723828

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210529254.7A Active CN114896931B (zh) 2022-05-12 2022-05-16 一种基于握手机制的蓝牙收发通路的验证方法及系统

Country Status (1)

Country Link
CN (1) CN114896931B (zh)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1387304A1 (fr) * 2002-07-30 2004-02-04 Bull S.A. Procédé de vérification fonctionnelle d'un modèle de circuit intégré pour constituer une plate-forme de vérification, équipement émulateur et plate-forme de vérification
WO2014110922A1 (zh) * 2013-01-18 2014-07-24 浪潮电子信息产业股份有限公司 一种基于扩展型Cache Coherence协议的多级一致性域仿真验证和测试方法
CN105302950A (zh) * 2015-10-19 2016-02-03 北京精密机电控制设备研究所 一种软、硬件协同的可编程逻辑器件交联仿真测试方法
CN105808843A (zh) * 2016-03-08 2016-07-27 浪潮集团有限公司 一种混合信号验证平台的构造方法
US9632759B1 (en) * 2009-02-17 2017-04-25 The Mathworks, Inc. Generation of an interface for interaction between a modeling environment and an external system
CN107463473A (zh) * 2017-09-01 2017-12-12 珠海泰芯半导体有限公司 基于uvm和fpga的芯片软硬件仿真环境
CN111064449A (zh) * 2019-12-11 2020-04-24 电子科技大学 一种基于uvm平台的数字降采样滤波器的验证平台及方法
CN112560377A (zh) * 2020-12-11 2021-03-26 珠海全志科技股份有限公司 基于虚拟平台与fpga联合的仿真验证方法及系统

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1387304A1 (fr) * 2002-07-30 2004-02-04 Bull S.A. Procédé de vérification fonctionnelle d'un modèle de circuit intégré pour constituer une plate-forme de vérification, équipement émulateur et plate-forme de vérification
US9632759B1 (en) * 2009-02-17 2017-04-25 The Mathworks, Inc. Generation of an interface for interaction between a modeling environment and an external system
WO2014110922A1 (zh) * 2013-01-18 2014-07-24 浪潮电子信息产业股份有限公司 一种基于扩展型Cache Coherence协议的多级一致性域仿真验证和测试方法
CN105302950A (zh) * 2015-10-19 2016-02-03 北京精密机电控制设备研究所 一种软、硬件协同的可编程逻辑器件交联仿真测试方法
CN105808843A (zh) * 2016-03-08 2016-07-27 浪潮集团有限公司 一种混合信号验证平台的构造方法
CN107463473A (zh) * 2017-09-01 2017-12-12 珠海泰芯半导体有限公司 基于uvm和fpga的芯片软硬件仿真环境
CN111064449A (zh) * 2019-12-11 2020-04-24 电子科技大学 一种基于uvm平台的数字降采样滤波器的验证平台及方法
CN112560377A (zh) * 2020-12-11 2021-03-26 珠海全志科技股份有限公司 基于虚拟平台与fpga联合的仿真验证方法及系统

Also Published As

Publication number Publication date
CN114896931A (zh) 2022-08-12

Similar Documents

Publication Publication Date Title
CN111314166B (zh) 一种多节点综合仿真系统及方法
EP1890507B1 (en) System, mobile communication unit and method for testing a receiver performance
CN109815099B (zh) Jesd204b控制器的fpga验证方法
CN103262061B (zh) 用于测试具有不对称链路的互连线的电特性的方法和系统
TWI589128B (zh) 同時測試多重數據包信號收發器之方法
CN114866439B (zh) 一种无线自组织网络组网性能的测试系统和方法
CN114896931B (zh) 一种基于握手机制的蓝牙收发通路的验证方法及系统
CN110731069B (zh) 使用非链接测试资源控制无线待测设备的方法
Tanaka et al. Trace‐based simulation for 6TiSCH
Boehm et al. Split-protocol-stack wireless network emulation: Enabling phy modeling diversity with software-radio-in-the-loop
CN112867046B (zh) 一种基于模拟仿真核心网测试upf网元功能的方法
Wang et al. Dmdl: A hierarchical approach to design, visualize, and implement mac protocols
JP2005534233A (ja) ヒストリベースの測定されたパワー制御応答
Tanaka et al. 6TiSCH Scheduling Function Design Suite founded on Contiki-NG
Hu et al. Progressive simulation-based design for networked real-time embedded systems
Bertocco et al. Investigating wireless networks coexistence issues through an interference aware simulator
CN112839351B (zh) 一种无线网络模块的性能测试方法以及装置
Böhm Split-Protocol-Stack network simulation and emulation of wireless embedded systems with Radio-in-the-Loop: towards accurate wireless network evaluation
Elsner et al. Wireless networks in-the-loop: software radio as the enabler
Bouziane et al. A Review of Formal Security Verification of Common Internet of Things (IoT) Communication Protocols
Chavez Muñoz et al. Towards the prototyping of novel access schemes for IoT networks
Arry et al. Formal verification of device discovery mechanism using UPPAAL
Unterschütz Methodologies and Protocols for Wireless Communication in Large-Scale, Dense Mesh Networks
Igel et al. A CC2420 Transceiver Simulation Module for ns-3 and its Integration into the FERAL Simulator Framework
Metzner et al. A realtime testbed for a third generation mobile communication system

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant