CN114843268A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN114843268A
CN114843268A CN202110734556.3A CN202110734556A CN114843268A CN 114843268 A CN114843268 A CN 114843268A CN 202110734556 A CN202110734556 A CN 202110734556A CN 114843268 A CN114843268 A CN 114843268A
Authority
CN
China
Prior art keywords
channel layer
layer
epitaxial
channel
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110734556.3A
Other languages
English (en)
Inventor
林育如
陈书涵
李一劭
陈俊纮
徐志安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN114843268A publication Critical patent/CN114843268A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Nanotechnology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种半导体装置及其制造方法,半导体装置包含第一通道层、第二通道层、栅极结构、源极/漏极磊晶结构及源极/漏极接触。第一通道层及配置在第一通道层上的第二通道层是以间隔分开的方式在基材上。栅极结构围绕第一通道层及第二通道层。源极/漏极磊晶结构连接至第一通道层及第二通道层。源极/漏极接触连接至源极/漏极磊晶结构。第二通道层比第一通道层更靠近源极/漏极接触,且第一通道层比第二通道层更厚。

Description

半导体装置及其制造方法
技术领域
本揭露是关于一种集成电路结构及其制造方法,特别是关于一种包含具有 不同厚度的通道的环绕式栅极及其制造方法。
背景技术
半导体集成电路(integrated circuit,IC)已经历指数性成长。IC材料和设计 的技术进步已生产出许多世代的IC,且每一世代都比前一代具有较小和更复 杂的电路。在IC进化的过程中,功能密度(即每个晶片面积中内连接装置的数 目)普遍随着几何尺寸[即利用一次制程所能创造最小的组件(或线)]的减小而 增加。尺度缩小制程一般提供增加生产效率和减少相关成本的效益。
发明内容
本揭露的一态样是提供一种半导体装置,其是包含第一通道层、第二通道 层、栅极结构、源极/漏极磊晶结构及源极/漏极接触。第一通道层及配置在第 一通道层上的第二通道层是以间隔分开的方式在基材上。栅极结构围绕第一通 道层及第二通道层。源极/漏极磊晶结构连接至第一通道层及第二通道层。源 极/漏极接触连接至源极/漏极磊晶结构。第二通道层比第一通道层更靠近源极/ 漏极接触,且第一通道层比第二通道层更厚。
本揭露的另一态样是提供一种半导体装置的制造方法,其是包含形成磊晶 堆叠在基材上。磊晶堆叠包含第一牺牲层、第一通道层、第二牺牲层及第二通 道层依序在基材上。第一通道层的厚度大于第二通道层的厚度。磊晶堆叠是被 图案化为鳍片结构。形成虚拟栅极结构穿过鳍片结构,以使虚拟栅极结构覆盖 鳍片结构的第一部分,而使鳍片结构的第二部分被暴露。鳍片结构的被暴露的 第二部分是被移除。形成源极/漏极磊晶结构在鳍片结构的第一部分内的第一 通道层及第二通道层的相对末端表面上。移除虚拟栅极结构,以暴露鳍片结构 的第一部分。移除在鳍片结构的被暴露的第一部分中的第一牺牲层及第二牺牲 层,而留下在鳍片结构的被暴露的第一部分中的第一通道层及第二通道层悬挂 在基材上。形成栅极结构,以围绕悬挂的第一通道层及第二通道层的每一者。
本揭露的再一态样是提供一种半导体装置的制造方法,其是包含形成磊晶 堆叠在基材上。磊晶堆叠包含第一牺牲层、第一通道层、第二牺牲层及第二通 道层依序在基材上。磊晶堆叠是被图案至沿着第一方向延伸的鳍片结构中。形 成虚拟栅极结构以沿着第二方向延伸穿过鳍片结构,其中第二方向实质垂直第 一方向。栅极间隙壁是分别在虚拟栅极结构的相对侧上。移除虚拟栅极结构, 以形成栅极沟渠在栅极间隙壁之间。自栅极沟渠选择性地移除第一牺牲层及第 二牺牲层,而留下第一通道层及第二通道层悬挂在栅极沟渠内。在选择性地移 除第一牺牲层及第二牺牲层之后,形成凹陷分别在第一通道层及第二通道层内。 第二通道层内的凹陷的深度大于第一通道层内的凹陷的深度。在形成凹陷分别在第一通道层及第二通道层内之后,形成栅极结构在栅极沟渠及凹陷内。
附图说明
根据以下详细说明并配合附图阅读,使本揭露的态样获致较佳的理解。需 注意的是,如同业界的标准作法,许多特征并不是按照比例绘示的。事实上, 为了进行清楚讨论,许多特征的尺寸可以经过任意缩放。
图1至图13B是绘示根据本揭露一些实施例的集成电路结构的形成中间 阶段的透视视图及剖面视图;
图14至图15B是绘示根据本揭露一些实施例的制造集成电路结构的各阶 段的例示剖面视图;
图16至图19B是绘示根据本揭露一些实施例的集成电路结构的形成中间 阶段的透视视图及剖面视图。
【符号说明】
100,100a,100b:集成电路结构
102:沟渠
110:基材
112:基材部分
120:磊晶堆叠
122:磊晶层
124a,124b,124c:磊晶层/通道层
124ac,124bc,124cc:中心部分
124ae,124be,124ce:边缘部分
130:鳍片
140:隔离区域
150:虚拟栅极结构
152:虚拟栅极介电层
154:虚拟栅极电极层
156:氧化层
158:氮化层
160:间隙壁材料层
162:第一间隙壁层
164:第二间隙壁层
170:内侧间隙壁材料层
180:源极/漏极磊晶结构
210:层间介电层
220:栅极结构
222:栅极介电层
224:功函数金属层
226:填充金属
230:介电质盖
240:源极/漏极接触
310:蚀刻制程
910:硬罩幕层
912:氧化层
914:氮化层
A,Aa,Ab:区域
D1,D2,D3,D4,D5,D6,D7:深度
GT1:栅极沟渠
H,H1:高度
Ia,Ib,Ic:驱动电流
O1,O1’:开口
R1,R2:凹陷
S/D:源极/漏极区域
T,Ta,Tb,Tc,Ta’,Tb’,Tc’:厚度
W1:宽度
X-X,Y-Y:切线
具体实施方式
以下揭露提供许多不同实施例或例示,以实施发明的不同特征。以下叙述 的成份和排列方式的特定例示是为了简化本揭露。这些当然仅是做为例示,其 目的不在构成限制。举例而言,元件的尺寸并不限于所揭露的范围或数值,而 是可取决于制程条件及/或装置所要的特性。再者,第一特征形成在第二特征 之上或上方的描述包含第一特征和第二特征有直接接触的实施例,也包含有其 他特征形成在第一特征和第二特征之间,以致第一特征和第二特征没有直接接 触的实施例。除此之外,本揭露在各种具体例中重复参考数值及/或字母。此 重复的目的是为了使说明简化且清晰,并不表示各种讨论的实施例及/或配置 之间有关系。
再者,空间相对性用语,例如“下方(beneath)”、“在…之下(below)”、“低 于(lower)”、“在…之上(above)”、“高于(upper)”等,是为了易于描述附图 中所绘示的元素或特征和其他元素或特征的关系。空间相对性用语除了附图中 所描绘的方向外,还包含元件在使用或操作时的不同方向。装置可以其他方式 定向(旋转90度或在其他方向),而本文所用的空间相对性描述也可以如此解 读。
如本文所使用的“大约(around)”、“约(about)”、“近乎(approximately)” 或“实质上(substantially)”一般是代表在所述的数值或范围的百分之20以内、 或百分之10以内、或百分之5以内。本文所述的数量值是近似值,表示即使 未明确指出,仍可推断用语“大约(around)”、“约(about)”、“近乎(approximately)” 或“实质上(substantially)”。
环绕式栅极(gate all around,GAA)晶体管结构可通过任何合适的方法来图 案化。举例而言,结构可利用一或多种光微影制程来图案化,其是包含双重图 案化或多重图案化制程。一般而言,双重图案化或多重图案化制程结合光微影 及自对准制程,使图案可制作为例如具有的间距小于利用单一且直接的光微影 制程所获得的图案之间距。举例而言,在一实施例中,牺牲层是形成在基材上, 且利用光微影制程来图案化。间隙壁是利用自对准制程而沿着被图案化的牺牲 层来形成。然后,牺牲层是被移除,且剩下的间隙壁可接着被用以图案化环绕 式栅极结构。
本揭露是关于集成电路结构及其制造方法。更特别地,本揭露的一些实施 例是关于包含具有不同厚度的通道的环绕式栅极装置。在此配置之下,流过不 同通道的驱动电流可以调整。
图1至图13B是绘示根据一些实施例的集成电路结构100的形成中间阶 段的透视视图及剖面视图。除了集成电路结构之外,图1至图4A、图5A、图 6A及图7A绘示X轴、Y轴及Z轴方向。根据一些例示实施例,形成的晶体 管可包含p型晶体管(例如p型环绕式栅极场效晶体管)及/或n型晶体管(例如n 型环绕式栅极场效晶体管)。透过各种视图及说明的实施例,类似的参考数值 是用以指示类似的元件。须理解的是,对于本方法的另一些实施例,可在图1 至图13B所示的制程之前、期间及之后提供额外的操作,且以下所述的一些 操作可以被取代或减少。操作/制程的顺序可以互相交换。
图1至图4A、图5A、图6A及图7A是一些实施例的集成电路100在制 程中间阶段的透视图。图4B、图5B、图6B、图7B至图11A、图12及图13A 是一些实施例的集成电路100沿着第一切线(例如图4A中的切线X-X)的剖面 视图,其是沿着通道的纵向方向且垂直于基材的顶表面。图11B是一些实施 例的在制程中间阶段的集成电路100沿着第二切线(例如图4A中的切线Y-Y) 的剖面视图,其是在栅极区域内且垂直于通道的纵向方向。图13B是图13A 的区域A的放大视图。
请参阅图1,磊晶堆叠120是形成在基材110上。在一些实施例中,基材 110可包含硅(Si)。另一方面,基材110可包含锗(Ge)、硅锗(SiGe)、III-V族材 料(例如GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、 InSb及/或GaInAsP;或前述的组合)或其他合适的半导体材料。在一些实施例 中,基材110可包含绝缘体上覆半导体(semiconductor-on-insulator,SOI)结构, 例如埋藏介电层。再另一方面,基材110可包含埋藏介电层,例如埋藏氧化物 (buried oxide,BOX)层,其是例如通过分离植入氧(separation by implantation of oxygen,SIMOX)技术、晶圆接合(wafer bonding)、选择性磊晶成长(selective epitaxial growth,SEG)或其他合适的方法。
磊晶堆叠120包含具有第一组成物的磊晶层122插入在具有第二组成物的 磊晶层124a、磊晶层124b及磊晶层124c之间。第一组成物与第二组成物可 为不同。在一些实施例中,磊晶层122为SiGe,而磊晶层124a、磊晶层124b 及磊晶层124c为硅(Si)。然而,其他实施例可能包含提供为第一组成物及第二 组成物者具有不同的氧化速率及/或蚀刻选择性。在一些实施例中,磊晶层122 包含SiGe,而磊晶层124a、磊晶层124b及磊晶层124c包含Si,SiGe,且磊 晶层124a、磊晶层124b及磊晶层124c的硅氧化速率是小于磊晶层122的SiGe 氧化速率。
磊晶层124a、磊晶层124b及磊晶层124c或其部分可形成多栅极晶体管 的纳米片(nanosheet)通道。本文所述的用语“纳米片”是指具纳米尺度的任何 材料部分,或甚至微米尺寸,且具有拉长的形状,无论此部分的剖面形状。因 此,此用语是指圆形及实质圆形且剖面拉长的材料部分,且束状或棒状材料部 分包含例如圆柱状或实质矩形剖面。磊晶层124a、磊晶层124b及磊晶层124c 用以定义装置的一个通道或多个通道会进一步在下文中说明。
须注意的是,图1中是绘示三层磊晶层122及三层磊晶层124a、磊晶层 124b及磊晶层124c是交替地配置,其仅是为了说明的目的而无意对申请专利 范围做出限制。须理解的是,任何数目的磊晶层可形成为磊晶堆叠120;层数 是取决于晶体管所要的通道区域数。在一些实施例中,磊晶层124a、磊晶层 124b及磊晶层124c的数目是介于2及10之间。
如下更详细的描述,磊晶层124a、磊晶层124b及磊晶层124c可做为后 续形成的多栅极装置的(多个)通道区域,且其厚度是基于考量装置效能来选择。 (多个)通道区域中的磊晶层122最终可被移除且用以定义后续形成的多栅极装 置的相邻(多个)通道区域之间的垂直距离,且其厚度是基于考量装置效能来选 择。因此,磊晶层122亦可当作牺牲层,且磊晶层124a、磊晶层124b及磊晶 层124c亦可当作通道层。
举例而言,磊晶堆叠120的层的磊晶成长可通过分子束磊晶(molecular beamepitaxy,MBE)制程、有机金属化学气相沉积(metalorganic chemical vapor deposition,MOCVD)制程及/或其他合适的磊晶成长制程来进行。在一些实施 例中,磊晶成长层(例如磊晶层124a、磊晶层124b及磊晶层124c)包含与基材 110相同的材料。在一些实施例中,磊晶成长层122、磊晶成长层124a、磊晶 成长层124b及磊晶成长层124c包含与基材110不同的材料。如上所述,在至 少一些具体例中,磊晶层122包含磊晶成长硅锗(SiGe)层,且磊晶层124a、磊 晶层124b及磊晶层124c包含磊晶成长硅(Si)层。另一方面,在一些实施例中, 磊晶层124a、磊晶层124b及磊晶层124c的任一者可包含其他材料,例如锗、 化合物半导体(例如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟)、 合金半导体(例如SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及/或GaInAsP) 或前述的组合。如前述,磊晶层122及磊晶层124a、磊晶层124b及磊晶层124c 的材料可基于提供不同氧化性及/或蚀刻选择性的性质来选择。在一些实施例 中,磊晶层122及磊晶层124a、磊晶层124b及磊晶层124c是实质不同掺质(即, 具有的外在掺质浓度为约0cm-3至约1×1018cm-3),举例而言,没有在磊晶成 长制程中进行有意的掺杂。
每一个磊晶层122具有厚度T。磊晶层122可具有实质固定的厚度T。在 一些实施例中,厚度T的范围为约2nm至约30nm。(底部的)磊晶层124a具 有厚度Ta,(中间的)磊晶层124b具有厚度Tb,且(顶部的)磊晶层124c具有厚 度Tc。在一些实施例中,在厚度Ta、厚度Tb及厚度Tc中,厚度Ta具有最 大的厚度值,及/或在厚度Ta、厚度Tb及厚度Tc中,厚度Tc具有最小的厚 度值。举例而言,厚度Ta大于厚度Tb及厚度Tc,及/或厚度Tb大于厚度Ta。 在另一些实施例中,厚度Ta大于厚度Tb及厚度Tc,且厚度Tb与厚度Ta是 实质相同。在再一些实施例中,厚度Ta与厚度Tb是实质相同,且厚度Tb是 大于厚度Tc。再者,二个相邻磊晶层122之间的厚度差是小于二个相邻磊晶 层124a、磊晶层124b及磊晶层124c之间的厚度差。只要厚度Ta大于厚度Tc 即落在本揭露的实施例。
在一些实施例中,厚度Ta、厚度Tb及厚度Tc可通过调整磊晶成长制程 的沉积时间/持续时间来控制。举例而言,用以沉积磊晶层124a的沉积时间/ 持续时间大于用以沉积磊晶层124b及/或磊晶层124c的沉积时间/持续时间。 当沉积时间/持续时间增加,磊晶层的厚度增加。另一方面,用以沉积磊晶层122的沉积时间/持续时间是实质相同。
请参阅图2,形成自基材110延伸的多个半导体鳍片130。在各种实施例 中,每一个鳍片130包含自基材110形成的基材部分112以及包含磊晶层122 及磊晶层124a、磊晶层124b及磊晶层124c的磊晶堆叠的每一个磊晶层的部 分。鳍片130可利用包含双重图案化或多重图案化制程的合适制程来制造。在 一些实施例中,双重图案化或多重图案化制程结合光微影及自对准制程,其使 图案被创作为具有例如具有的间距小于利用单一且直接的光微影制程所获得 的图案的间距。举例而言,在一实施例中,牺牲层是形成在基材上且是利用光 微影制程来图案化。间隙壁是沿着被图案化的牺牲层并利用自对准制程来形成。 然后,牺牲层是被移除,且剩下的间隙壁或心轴可接着而被用以通过蚀刻初始 的磊晶堆叠120来图案化鳍片130。蚀刻制程可包含干式蚀刻、湿式蚀刻、反 应性离子蚀刻(reactiveion etching,RIE)及/或其他合适的制程。
如图1及图2所绘示的说明实施例中,在图案化鳍片130之前,硬罩幕(hard mask,HM)层910是形成在磊晶堆叠120上。在一些实施例中,硬罩幕层包含 氧化层912(例如垫氧化层,其可包含SiO2)及形成在氧化层上的氮化层914(例 如垫氮化层,其可包含Si3N4)。氧化层912可做为磊晶堆叠120及氮化层914 之间的粘着层,且可做为用以蚀刻氮化层914的蚀刻中止层。在一些具体例中, 硬罩幕氧化层912包含热成长氧化物、化学气相沉积(chemical vapor deposition, CVD)沉积氧化物及/或原子层沉积(atomic layerdeposition,ALD)沉积氧化物。 在一些实施例中,硬罩幕氮化层914是通过化学气相沉积及/或其他合适的技 术沉积在硬罩幕氧化层912上。
鳍片130可接着利用包含光微影及蚀刻制程的合适制程来制造。光微影制 程可包含形成光阻层(图未绘示)在硬罩幕层910上、暴露光阻成图案、进行后 曝光烘烤制程及显影光阻以形成包含光阻的图案化罩幕。在一些实施例中,图 案化光阻以形成图案化罩幕元件可利用电子束(electron beam,e-beam)微影制 程或极紫外光(extreme ultraviolet,EUV)微影制程,其利用极紫外光区域内的 光线(波长为例如约1nm至约200nm的)。然后,图案化罩幕可用以保护基材 110的区域及形成于其上的层,而蚀刻制程穿过硬罩幕层910、穿过磊晶堆叠 120及至基材110并形成沟渠102在未保护区域内,借以留下多个延伸鳍片130。沟渠102可利用干式蚀刻(例如反应性离子蚀刻)、湿式蚀刻及/或前述组合来蚀 刻。用以形成鳍片在基材上的方法的许多其他实施例也可使用,其包含例如定 义鳍片区域(例如通过罩幕或隔离区域)及以鳍片130的方式磊晶成长磊晶堆叠 120。
接着,如图3所示,隔离区域140是形成为插入鳍片130。隔离区域140 可包含衬氧化物(图未绘示)。衬氧化物可由热氧化物所形成,其是穿过基材110 的热氧化的表面层而形成。衬氧化物亦可为沉积的氧化硅层,其是利用例如原 子层沉积、高密度电浆化学气相沉积(high-density plasma chemical vapor deposition,HDPCVD)或化学气相沉积而形成。隔离区域140也可包含在衬氧 化物上的介电材料,且介电材料可利用流动式化学气相沉积(flowable chemical vapor deposition,FCVD)、旋转涂布或相似方法来形成。
隔离区域140是接着被凹陷,以使半导体条120的顶部部分突出至高于相 邻隔离区域140的顶表面,以形成突出鳍片120。蚀刻可利用干式蚀刻制程来 进行,其中NH3及NF3是用以做蚀刻气体。蚀刻制程期间,可产生电浆。亦 可包含氩气。根据本揭露的另一些实施例,隔离区域140的凹陷是利用湿式蚀 刻制程来进行。举例而言,蚀刻化学试剂可包含稀释HF。
请参阅图4A及图4B,虚拟栅极结构150是形成在基材110上,且是至 少部分地设置在鳍片130上。在虚拟栅极结构150下方的鳍片130的部分也可 当作通道区域。虚拟栅极结构150也可定义鳍片130的源极/漏极(S/D)区域, 举例而言,鳍片130的区域是相邻及在通道区域的相对侧。
虚拟栅极形成操作首先形成虚拟栅极介电层152在鳍片130上。接着,可 包含多层156及158(例如氧化层156及氮化层158)的虚拟栅极电极层154及 硬罩幕是形成在虚拟栅极介电层152。然后,硬罩幕是被图案化,接着通过利 用图案化硬罩幕做为蚀刻罩幕来图案化虚拟栅极电极层152。在一些实施例中, 在图案化虚拟栅极电极层154之后,虚拟栅极介电层152是自鳍片130的源极 /漏极区域中被移除。蚀刻制程可包含湿式蚀刻、干式蚀刻及/或前述的组合。 蚀刻制程是选择以选择性地蚀刻虚拟栅极介电层152,而不实质蚀刻鳍片130、 虚拟栅极电极层154、氧化罩幕层156及氮化罩幕层158。
栅极介电层152可为任何可接受的介电层,例如氧化硅、氮化硅、相似物 或前述的组合,且可利用任何可接受的制程来形成,例如热氧化、旋涂制程、 化学气相沉积或相似者。虚拟栅极电极154可为任何可接受的电极层,例如包 含多晶硅、金属、相似物或前述的组合。栅极电极层可通过任何可接受的沉积 制程来沉积,例如化学气相沉积、电浆辅助化学气相沉积(plasma enhanced CVD, PECVD)或相似者。每一个虚拟栅极结构150跨越单一或多个鳍片130。虚拟 栅极结构150的纵向方向垂直于各鳍片130的纵向方向。
在虚拟栅极结构150的形成完成之后,栅极间隙壁160是形成在虚拟栅极 结构150的侧壁上。举例而言,间隙壁材料是沉积在基材110上。间隙壁材料 层可为共形层,其是接着被回蚀以形成栅极侧壁间隙壁。在说明实施例中,间 隙壁材料层160是共形地设置在虚拟栅极结构150的顶部及侧壁上。间隙壁材 料层160可包含介电材料,例如氧化硅、氮化硅、碳化硅、氮氧化硅、SiCN 膜、碳氧化硅、SiOCN膜及/或前述的组合。在一些实施例中,间隙壁材料层 160包含多层,例如第一间隙壁层162及形成在第一间隙壁162上的第二间隙 壁层164(如图4B所绘示)。举例而言,间隙壁材料层160可通过利用合适的沉 积制程来沉积介电材料在栅极结构150上而形成。然后,异向性蚀刻制程是在 沉积的间隙壁材料层160上进行,以暴露鳍片130未被虚拟栅极结构150(例如 在鳍片130的源极/漏极区域中)覆盖的部分。在虚拟栅极结构150正上方的间 隙壁材料层的部分可通过异向性蚀刻制程而完全地被移除。在虚拟栅极结构 150的侧壁上的间隙壁材料层的部分可维持,形成栅极侧壁间隙壁,为了简化, 其是被表示为栅极间隙壁160。须注意的是,虽然栅极间隙壁160在图4B的 剖面视图中为多层结构,为了简化,其在图4A的透视视图中是被绘示为单层 结构。
接着,如图5A及图5B所绘示,侧向地延伸超过栅极间隙壁160(例如在 鳍片130的源极/漏极区域中)的半导体鳍片130的暴露部分是通过利用例如异 向性蚀刻制程(使用虚拟栅极结构150及栅极间隙壁160做为蚀刻罩幕)来蚀刻, 造成凹陷R1在半导体鳍片130中且在对应的虚拟栅极结构150之间。在异向 性蚀刻之后,磊晶层122及通道层124a、通道层124b及通道层124c的末表 面及栅极间隙壁160各别最外面的侧壁是实质连续的,其是由于异向性蚀刻。 在一些实施例中,异向性蚀刻可通过以电浆源及反应气体的干式化学蚀刻来进 行。电浆源可为感应耦合式电浆(inductively coupled plasma,ICR)源、变压耦 合式电浆(transformer coupled plasma,TCP)源、电子回旋共振(electron cyclotronresonance,ECR)源或相似者,且反应气体可为例如氟基气体(例如SF6、CH2F2、CH3F、CHF3或相似者)、氯基气体(例如Cl2)、溴化氢气体(HBr)、氧气(O2)、 相似者或前述的组合。
在一些实施例中,由于蚀刻制程的异向性蚀刻的特性,凹陷R1具有锥形 侧壁轮廓。因此,磊晶层(或被当作通道层)124a、磊晶层124b及磊晶层124c 的通道长度(在如图5B所示的x方向上)可略微不同。举例而言,磊晶层124a 的通道长度大于磊晶层124b的通道长度,其中磊晶层124b的通道长度大于磊 晶层124c的通道长度。然而,在另一些实施例中,蚀刻制程的蚀刻条件可精 细地调整,以使凹陷R1具有垂直的侧壁轮廓。再者,每一个凹陷R1具有高 度H1及宽度W1。
接着,在图6A及图6B中,磊晶层122是通过利用合适的蚀刻技术而侧 向地或水平地被凹陷,造成侧向凹陷R2的每一者直立地在对应通道层124a、 通道层124b及通道层124c之间。此操作可苇由利用选择性蚀刻制程来进行。 做为例示而不构成限制的是,磊晶层122为SiGe且通道层124a、通道层124b 及通道层124c为硅允许磊晶层122的蚀刻选择性。在一些实施例中,选择性 湿式蚀刻包含氨水-过氧化氢蚀刻(例如氨水-过氧化氢-水混合物),其相较于蚀 刻Si,蚀刻SiGe有更快的蚀刻速率。在一些实施例中,选择性蚀刻包含SiGe 氧化,接着SiGeOx的移除。举例而言,氧化可通过O3清洗,然后通过例如 NH4OH的蚀刻剂来移除SiGeOx,其选择性地以比蚀刻Si更快的蚀刻速率来蚀 刻SiGeOx。再者,因为Si的氧化速率远慢于(偶尔是30倍的慢于)SiGe的氧化 速率,通道层124a、通道层124b及通道层124c不会显著地被侧向凹陷磊晶 层122的制程所蚀刻。因此,通道层124a、通道层124b及通道层124c侧向 地延伸越过磊晶层122相对的末端表面。
在图7A及图7B中,内侧间隙壁材料层170是形成以填充凹陷R2,其是 由磊晶层122的侧向蚀刻所剩下,如参阅图6A及图6B所述。内侧间隙壁材 料层170可为低k介电材料,例如SiO2、SiN、SiCN或SiOCN,且可通过合 适的沉积方法来形成,例如原子层沉积。在内侧间隙壁材料层170沉积之后, 可进行异向性蚀刻制程以修整被沉积的内侧间隙壁材料170,以使仅部分被沉 积的内侧间隙壁材料170填充由磊晶层122的侧向蚀刻所剩下的凹陷R2。为了简化,在修整制程之后,被沉积的内侧间隙壁材料170的剩余部分是被表示 为内侧间隙壁170。内侧间隙壁170用做使金属栅极与后续制程中形成的源极/漏极区域隔离。在图7A及图7B的具体例中,内侧间隙壁170的侧壁是对准 通道层124a、通道层124b及通道层124c的侧壁。
在图8中,源极/漏极磊晶结构180是形成在半导体鳍片130的源极/漏极 区域S/D上。源极/漏极磊晶结构180可通过进行磊晶成长制程来形成,其是 提供磊晶材料在鳍片130上。在磊晶成长制程期间,虚拟栅极结构150、栅极 侧壁间隙壁160及内侧间隙壁170限制源极/漏极磊晶结构180成源极/漏极区 域S/D。在一些实施例中,磊晶结构180的晶格常数与磊晶层124a、磊晶层 124b及磊晶层124c的晶格常数不同,以使磊晶层124a、磊晶层124b及磊晶 层124c可被磊晶结构180伸张或加压,以优化半导体装置的载子迁移率并增加装置效能。磊晶制程包含化学气相沉积技术[例如电浆辅助化学气相沉积、 气相磊晶(vapor-phase epitaxy,VPE)及/或超高真空化学气相沉积(ultra-high vacuum CVD,UHV-CVD)]、分子束磊晶及/或其他合适的制程。磊晶制程可利 用气体及/或液体前驱物,其与半导体鳍片104的组成物互相作用。
在一些实施例中,源极/漏极磊晶结构180可包含Ge、Si、GaAs、AlGaAs、 SiGe、GaAsP、SiP或其他合适的材料。源极/漏极磊晶结构180在磊晶制程中 可通过导入物质而被原位掺杂,其物质包含:p型掺质,例如硼或BF2;n型 掺质,例如磷或砷;及/或其他包含前述组合的合适的掺质。若源极/漏极磊晶 结构180没有被原位掺杂,进行布植制程(即接面植入制程)以掺杂源极/漏极磊 晶结构180。在一些例示实施例中,在n型晶体管内的源极/漏极磊晶结构180 包含SiP,而在p型晶体管内的源极/漏极磊晶结构180包含GeSnB及/或SiGeSnB。在具有不同装置型式的实施例中,罩幕(例如光阻)可形成在n型装 置区域上,而暴露p型装置区域,且p型磊晶结构可形成在p型装置区域内暴 露的基材部分112上。然后,罩幕可被移除。接着,罩幕(例如光阻)可形成在 p型装置区域上,而暴露n型装置区域,且n型磊晶结构可形成在n型装置区 域内暴露的基材部分112上。然后,罩幕可被移除。
源极/漏极磊晶结构180一旦被形成,可进行退火制程以活化源极/漏极磊 晶结构180内的p型掺质或n型掺质。退火制程可为例如快速热退火(rapid thermal anneal,RTA)、激光退火、毫秒热退火(millisecond thermal annealing, MSA)制程或相似者。
在图9中,层间介电(interlayer dielectric)层210是形成在基材110上。在 一些实施例中,接触蚀刻中止层(contact etch stop layer,CESL)亦是在形成层间 介电层210之前被形成。在一些具体例中,接触蚀刻中止层包含氮化硅层、氧 化硅层、氮氧化硅层及/或其他具有与层间介电层210具有不同蚀刻选择性的 合适材料。接触蚀刻中止层可通过电浆辅助化学气相沉积制程及/或合适的沉 积或氧化制程。在一些实施例中,层间介电层210包含材料,例如四乙氧基硅 烷(tetraethylorthosilicate,TEOS)形成的氧化物、未掺杂硅酸玻璃或掺杂氧化硅 [例如硼掺杂磷硅玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、熔融石英 玻璃(fused silica glass,FSG)、磷硅玻璃(Phospho-Silicate Glass,PSG)、硼硅玻 璃(Boro-Silicate Glass,BSG)]及/或其他与接触蚀刻中止层具有不同蚀刻选择 性的合适的介电材料。层间介电层210可通过电浆辅助化学气相沉积制程或其 他合适的沉积技术来沉积。在一些实施例中,在层间介电层210形成之后,晶 圆可接受高热预算制程(high thermal budget process)以退火层间介电层210。
在一些具体例中,在沉积层间介电层210之后,可进行平坦化制程以移除 层间介电层210的多余材料。举例而言,平坦化制程包含化学机械平坦化 (chemical mechanicalplanarization,CMP)制程,其移除在虚拟栅极结构150上 的层间介电层210(及接触蚀刻中止层,若其存在的话)的部分,并平坦化集成 电路结构100的顶表面。在一些实施例中,化学机械平坦化制程亦移除硬罩幕 层156及158(如图8所示)并暴露虚拟栅极电极层154。
然后,虚拟栅极结构150(如图8及图9所示)先被移除,接着磊晶层(即牺 牲层)122(如图9所示)是被移除。所得的结构是绘示于图10中。在一些实施例 中,虚拟栅极结构150是通过利用选择性蚀刻制程(例如选择性干式蚀刻、选 择性湿式蚀刻或前述的组合)来移除,相较于蚀刻其他材料(例如栅极侧壁间隙 壁160及/或层间介电层210),其是以较快的蚀刻速率蚀刻虚拟栅极结构150 中的材料,因此造成在对应的栅极侧壁间隙壁160之间的栅极沟渠GT1,其具 有磊晶层122暴露在栅极沟渠GT1内。接着,在栅极沟渠GT1内的磊晶层122 是通过利用其他选择性蚀刻制程来移除,相较于蚀刻通道层124a、通道层124b 及通道层124c,其是以较快的蚀刻速率蚀刻磊晶层122,因此形成在相邻磊晶 层(即通道层)124a、磊晶层124b及磊晶层124c之间的开口O1。如此一来,磊 晶层124a、磊晶层124b及磊晶层124c变成悬挂在基材110上的纳米片且在 源极/漏极磊晶结构180之间。此操作亦称为通道释放制程(channel release process)。在此临时制程操作中,在磊晶层(即纳米片)124a、磊晶层124b及磊 晶层124c之间的开口O1可在一般环境条件(例如空气、氮气等)下被填充。在 一些实施例中,磊晶层124a、磊晶层124b及磊晶层124c可替换地被称为纳 米线(nanowires)、纳米平板(nanoslabs)、纳米环(nanorings),其是取决于他们的 几何形状。举例而言,在另一些实施例中,磊晶层124a、磊晶层124b及磊晶 层124c可被修整为具有实质圆形(即圆柱),由于用以完全地移除磊晶层122 的选择性蚀刻制程。在此例示中,所得的磊晶层124a、磊晶层124b及磊晶层 124c可被称为纳米线。
在一些实施例中,磊晶层122是通过利用选择性湿式蚀刻制程来移除。在 一些实施例中,磊晶层122为SiGe,而磊晶层124a、磊晶层124b及磊晶层 124c为硅,以使磊晶层122被选择性移除。在一些实施例中,选择性移除包 含SiGe氧化,接着SiGeOx的移除。举例而言,氧化可通过O3清洗来提供, 然后SiGeOx是通过例如NH4OH的蚀刻剂来移除,其选择性地以比蚀刻Si更 快的蚀刻速率来蚀刻SiGeOx。再者,由于Si的氧化速率远慢于(偶尔是30倍的慢于)SiGe的氧化速率,通道层124a、通道层124b及通道层124c不会显著 地被通道释放制程所蚀刻。须注意的是,通道释放制程及上述侧向凹陷牺牲层 的操作(如图6A及图6B所示的操作)两者皆利用选择性蚀刻制程,其选择性地 以比蚀刻Si更快的蚀刻速率来蚀刻SiGe,且因此在一些实施例中,前述两种 操作可利用相同的蚀刻化学品。在此例示中,通道释放操作的蚀刻时间/持续 时间是比上述侧向凹陷牺牲层的操作的蚀刻时间/持续时间更长,借以完整地 移除牺牲SiGe层。
在图11A及图11B中,取代栅极结构220是分别地形成在栅极沟渠GT1 中,以围绕每一个悬挂在栅极沟渠GT1内的磊晶层124a、磊晶层124b及磊晶 层124c。栅极结构220可为环绕式栅极场效晶体管的最终栅极。最终栅极可 为高k/金属栅极堆叠,然而,可能是其他的组成。在一些实施例中,每一个栅 极结构220形成与多个磊晶层124a、磊晶层124b及磊晶层124c提供的多通 道连接的栅极。在各种实施例中,高k/金属栅极结构220包含形成在磊晶层 124a、磊晶层124b及磊晶层124c周围的栅极介电层222、形成在栅极介电 层222周围的功函数金属层224及形成在功函数金属层224并填充剩余的栅极 沟渠GT1的填充金属226。栅极介电层222包含层间层(例如氧化硅层)及在层 间层上的高k栅极介电层。高k栅极介电质包含具有高介电常数的介电材料, 例如介电常数大于热氧化硅(约3.9)。功函数金属层224及/或用于高k/金属栅 极结构220中的填充金属层226可包含金属、金属合金或金属硅化物。高k/ 金属栅极结构220可包含沉积以形成各种栅极材料、一或多个衬层,及一或多个化学机械研磨制程,以移除多余的栅极材料。如图11B所绘示的沿着高k/ 金属栅极结构220的纵轴所取得的剖面视图,高k/金属栅极结构220围绕每一 个磊晶层124a、磊晶层124b及磊晶层124c,且因此被当作环绕式栅极场效晶 体管的栅极。
在一些实施例中,栅极介电层222的层间层可包含介电材料,例如氧化硅 (SiO2)、HfSiO或氮氧化硅(SiON)。层间层可通过化学氧化、热氧化、原子层 沉积、化学气相沉积及/或其他合适的方法来形成。栅极介电层222的高k介 电层可包含二氧化铪(HfO2)。另外,栅极介电层222可包含其他高k介电质, 例如氧化硅铪(HfSiO)、氮氧化硅铪(HfSiON)、氧化钽锆(HfTaO)、氧化钛铪 (HfTiO)、氧化锆铪(HfZrO)、氧化镧(LaO)、氧化锆(ZrO)、氧化钛(TiO)、氧化 钽(Ta2O5)、氧化钇(Y2O3)、氧化钛锶(SrTiO3,STO)、氧化钛钡(BaTiO3,BTO)、 氧化锆钡(BaZrO)、氧化镧铪(HfLaO)、氧化硅镧(LaSiO)、氧化硅铝(AlSiO)、 氧化铝(Al2O3)、氮化硅(Si3N4)、氮氧化硅(SiON)及前述的组合。
功函数金属层224可包含功函数金属,以提供高k/金属栅极结构220合适 的功函数。对于n型鳍式场效晶体管,功函数金属层224可包含一或多个n 型功函数金属(n-typework function metals,N-metal)。n型功函数金属可例如包 含但不限于钛铝(TiAl)、氮化钛铝(TiAlN)、碳氮化钽(TaCN)、铪(Hf)、锆(Zr)、 钛(Ti)、钽(Ta)、铝(Al)、金属碳化物[例如碳化铪(HfC)、碳化锆(ZrC)、碳化钛 (TiC)、碳化铝(AlC)]、铝化合物及/或其他合适的材料。另一方面,对于p型 鳍式场效晶体管,功函数金属层224可包含一或多个p型功函数金属(p-type work function metals,P-metal)。p型功函数金属可例如包含但不限于氮化钛(TiN)、氮化钨(WN)、钨(W)、钌(Ru)、镉(Pd)、铂(Pt)、钴(Co)、镍(Ni)、导电 金属氧化物及/或其他合适的材料。
在一些实施例中,填充金属226可例如包含但不限于钨、铝、铜、镍、钴、 钛、钽、氮化钛、氮化钽、硅化镍、硅化钴、TaC、TaSiN、TaCN、TiAl、TiAlN 或其他合适的材料。
在图12中,回蚀制程是选择性地进行以回蚀取代栅极结构220,以在被 回蚀的栅极结构220上造成凹陷。在一些实施例中,因为取代栅极结构220 的材料具有与栅极间隙壁160不同的蚀刻选择性,取代栅极结构220的顶表面 可低于栅极间隙壁160的顶表面。
介电质盖230是选择性地形成在被回蚀的栅极结构220上。介电质盖230 包含SiNx、AlxOy、AlON、SiOxCy、SiCxNy、前述的组合或相似者,且是通 过合适的沉积技术来形成,例如化学气相沉积、电浆辅助化学气相沉积、原子 层沉积、远距电浆原子层沉积(remoteplasma ALD,RPALD)、电浆辅助原子层 沉积(plasma-enhanced ALD,PEALD)、前述的组合或相似者。然后,进行化 学机械研磨制程以移除在凹陷外的盖层,留下在凹陷内的介电质盖层的部分, 以做为介电质盖230。
在图13A中,源极/漏极接触240是形成为延伸穿过层间介电层210(及接 触蚀刻中止层,若其存在的话)。源极/漏极接触240的形成包含例如但不限于, 进行一或多次蚀刻制程以形成接触开口延伸穿过层间介电层210,以暴露源极 /漏极磊晶结构180,沉积一或多个金属材料以过度填充接触开口,然后进行化 学机械研磨制程,以移除在接触开口外的多余金属材料。在一些实施例中,一 或多个蚀刻制程是选择性蚀刻,相对于蚀刻介电质盖230及栅极间隙壁160, 其以较快的蚀刻速率蚀刻层间介电层210。因此,选择性蚀刻是利用介电质盖 230及栅极间隙壁160做为蚀刻罩幕来进行,以使接触开口及源极/漏极接触 240是形成为自对准源极/漏极磊晶结构180,而不使用额外的光微影制程。在 此例示中,用于形成自对准接触240的介电质盖230可被称为自对准接触盖 (self-aligned contact caps,SAC caps)230。
图13B是图13A中区域A的放大视图。请参阅图13A及图13B。集成电 路结构100包含基材110、在基材110上的通道层124a、通道层124b及通道 层124c、连接通道层124a、通道层124b及通道层124c的源极/漏极磊晶结构 180及分别在源极/漏极磊晶结构180上的源极/漏极接触240。
通道层124c是靠近源极/漏极接触240,且通道层124a是远离源极/漏极 接触240。当施加电压至源极/漏极接触240的一者(或源极接触)时,驱动电流 Ia、Ib及Ic的通路是形成在集成电路结构100内。驱动电流Ia流过通道层124a, 驱动电流Ib流过通道层124b,且驱动电流Ic流过通道层124c。如图13B所 示,驱动电流Ic的通路是比驱动电流Ia及Ib的通路短。由于电阻随电流通路 的增加而增加,当通道层124a、通道层124b及通道层124c具有相同厚度时, 驱动电流Ic是大于驱动电流Ia及Ib。在图13B中,然而,通道层124a及/或 通道层124b的厚度使通道层124a及/或通道层124b的电阻降低,以使驱动电 流Ia及Ib可增加。
举例而言,通道层124a具有厚度Ta,通道层124b具有厚度Tb,且通道 层124c具有厚度Tc。在一些实施例中,在厚度Ta、厚度Tb及厚度Tc中, 厚度Ta具有最大的厚度值,及/或在厚度Ta、厚度Tb及厚度Tc中,厚度Tc 具有最小的厚度值。举例而言,厚度Ta大于厚度Tb及厚度Tc,及/或厚度Tb 大于厚度Ta。在另一些实施例中,厚度Ta大于厚度Tb及厚度Tc,且厚度 Tb与厚度Ta是实质相同。在再一些实施例中,厚度Ta与厚度Tb是实质相同, 且厚度Tb是大于厚度Tc。只要厚度Ta大于厚度Tc即落在本揭露中的实施例。
在一些实施例中,厚度Ta、厚度Tb及厚度Tc的每一者的范围为约2nm 至约30nm。若厚度Ta(Tb、Tc)是小于约2nm,驱动电流Ia(Ib、Ic)可能太小; 若厚度Ta(Tb、Tc)是大于约30nm,栅极用以关闭通道的截止电压会太高。在 一些实施例中,厚度Ta及厚度Tc之间的差异是大于0nm,且小于或等于约 28nm,例如在约1nm至约28nm的范围内。若厚度Ta及厚度Tc之间的差 异小于0nm,驱动电流Ia可能远小于驱动电流Ic;若厚度Ta及厚度Tc之间 的差异大于约28nm,磊晶堆叠120(参照图1)的总高度可能太高而无法形成低 宽高比的凹陷R1(参照图5B)。相似地,在一些实施例中,厚度Ta及厚度Tb 之间的差异是大于0nm,且小于或等于约28nm,例如在约1nm至约28nm 的范围内,及/或厚度Tb及厚度Tc之间的差异是大于0nm,且小于或等于约 28nm,例如在约1nm至约28nm的范围内。
在一些实施例中,相邻通道层之间(通道层124a及通道层124b之间、通 道层124b及通道层124c之间及通道层124a及基材部分112之间)的间隙具有 高度H(即内层间隙壁170的高度)的范围为约2nm至约30nm。若高度H是 大于30nm,凹陷R1(参照图7B)的宽高比可能太大;若高度H是小于约2nm, 栅极结构220可能无法填充通道层之间的间隙,而留下孔洞于其间。
在一些实施例中,厚度Ta、厚度Tb、厚度Tc及厚度T是与凹陷R1(参照 图5B)的宽高比(对于凹陷是定义为凹陷的高度H1/宽度W1的比)有关。在一些 实施例中,凹陷R1的宽高比是在约1至约5的范围内。一旦凹陷R1的宽高 比及宽度被决定了,则高度H1的最大值亦被决定了。厚度的总和 (Ta+Tb+Tc+3T)是小于高度H1的最大值。
图14至图15B是绘示根据本揭露另一些实施例的制造集成电路100a的 各阶段的例示剖面视图。须理解的是,对于本方法的另一些实施例,可在图 14至图15B所示的制程之前、期间及之后提供额外的操作,且以下所述的一 些操作可以被取代或减少。操作/制程的顺序可以互相交换。与如图1至图13B 所述的相同或相似的配置、材料、制程及/或操作可以在以下的实施例中使用, 且可省略其详细说明。
在如图10所示的结构形成之后,进行另一蚀刻制程以过度蚀刻通道层 124a、通道层124b及通道层124c,以使图10所示的开口O1被放大为开口 O1’。在一些实施例中,通道层124a、通道层124b及通道层124c是通过异向 性化学蚀刻制程310而被蚀刻/凹陷。举例而言,蚀刻可通过以电浆源及反应 气体的异向性化学蚀刻来进行。电浆源可为感应耦合式电浆(inductively coupled plasma,ICP)源、变压耦合式电浆(transformer coupledplasma,TCP) 源、电子回旋共振(electron cyclotron resonance,ECR)源或相似者,且反应气体 可为例如氟基气体(例如SF6、CH2F2、CH3F、CHF3或相似物)、氯基气体(例如 Cl2)、溴化氢气体(HBr)、氧气(O2)、相似物或前述的组合。
在一些实施例中,通过调整蚀刻制程中的电浆能量及/或压力,通道层124a、 通道层124b及通道层124c的轮廓可被调整。举例而言,通道层(例如通道层 124c)愈高,通道层的蚀刻量愈多。换言之,通道层124c是被过度蚀刻的比通 道层124a更严重。在一些实施例中,蚀刻制程可在约450W至约4800W的 电浆源能量及约20mTorr至约12000mTorr的压力下,利用O3、O2、O2/N2、 O2/H2、O2/Ar及/或O2/He为蚀刻气体来进行。若电浆源能量是大于约4800W, 通道层124a、通道层124b及通道层124c可能被过度蚀刻;若电浆源能量是 小于约450W,通道层124a、通道层124b及通道层124c的蚀刻不足。若压力 小于约20mTorr,通道层124a、通道层124b及通道层124c的蚀刻不足;若 压力大于约12000mTorr,通道层124a、通道层124b及通道层124c可能被过 度蚀刻。
请参阅图15A,在图14的蚀刻制程310完成之后,图14的结构是经过与 图11A至图13A相似的制程。换言之,栅极结构220是形成在栅极沟渠GT1 及开口O1'中,栅极结构220是被回蚀,介电质盖230是形成在被回蚀的栅极 结构220之上,开口是形成在层间介电层210中,以暴露源极/漏极磊晶结构 180,且源极/漏极接触240是形成在开口内。关于前述制程/元件的材料及制程 细节是与图11A至图13A所示者相似,因此为了简洁,在此不再重复说明。
图15B是图15A中的区域Aa的放大视图。请参阅图15A及图15B。集 成电路结构100a包含基材110、在基材110上的通道层124a、通道层124b及 通道层124c、包围通道层124a、通道层124b及通道层124c的每一者的栅极 结构220、连接通道层124a、通道层124b及通道层124c的源极/漏极磊晶结 构180,及分别在源极/漏极磊晶结构180上的源极/漏极接触240。
通道层124c是靠近源极/漏极接触240,且通道层124a是远离源极/漏极 接触240。如上所述,厚的通道层124a及/或通道层124b降低通道层124a及/ 或通道层124b的电阻,以使流过通道层124a及/或通道层124b的驱动电流可 以增加。
在一些实施例中,通道层124a包含中心部分124ac及在中心部分124ac 的相对末端上的二个边缘部分124ae。换言之,边缘部分124ae互连中心部分 124ac及源极/漏极磊晶结构180。栅极结构220包围中心部分124ac,且内侧 间隙壁材料层170接触边缘部分124ae。由于图14所示的蚀刻制程310,边缘 部分124ae比中心部分124ac厚。中心部分124ac具有厚度Ta',且凹陷的深 度D2及D3的总和是中心部分124ac及边缘部分124ae之间的厚度差。
相似地,通道层124b包含中心部分124bc及在中心部分124bc的相对末 端上的二个边缘部分124be。换言之,边缘部分124be互连中心部分124bc及 源极/漏极磊晶结构180。栅极结构220包围中心部分124bc,且内侧间隙壁材 料层170接触边缘部分124be。再者,栅极结构220的栅极介电层222是自边 缘部分124ae的内侧壁延伸至边缘部分124be的内侧壁。由于图14所示的蚀 刻制程310,边缘部分124be比中心部分124bc厚。中心部分124bc具有厚度 Tb',且凹陷的深度D4及D5的总和是中心部分124bc及边缘部分124be之间 的厚度差。
同样地,通道层124c包含中心部分124cc及在中心部分124cc的相对末 端上的二个边缘部分124ce。换言之,边缘部分124ce互连中心部分124cc及 源极/漏极磊晶结构180。栅极结构220包围中心部分124cc,且内侧间隙壁材 料层170接触边缘部分124ce。再者,栅极结构220的栅极介电层222是自边 缘部分124be的内侧壁延伸至边缘部分124ce的内侧壁。由于图14所示的蚀 刻制程310,边缘部分124ce比中心部分124cc厚。中心部分124cc具有厚度 Tc',且凹陷的深度D6及D7的总和是中心部分124cc及边缘部分124ce之间 的厚度差。在一些实施例中,基材部分112亦被蚀刻,以形成具有深度D1的 凹陷,其中深度D1是比深度D2至D7浅。
如上所述,通道层124a、通道层124b及通道层124c的蚀刻量可以调整, 以使深度D7(D6)大于深度D5(D4),其中深度D5(D4)大于深度D3(D2)。因此, 厚度Tc'是大于厚度Tb',其中厚度Tb'大于厚度Ta'。图15A至图15B中的集 成电路结构的其他相关结构及制程细节似实质相同或相似于图13A至图13B 中的集成电路结构,因此相关说明将不再重复。
图16至图19B是绘示根据本揭露一些实施例的集成电路100b的形成中 间阶段的透视视图及剖面视图。根据一些例示实施例,形成的晶体管可包含p 型晶体管(例如p型环绕式栅极场效晶体管)及/或n型晶体管(例如n型环绕式 栅极场效晶体管)。透过各种视图及说明的实施例,类似的参考数值是用以指 示类似的元件。须理解的是,对于本方法的另一些实施例,可在图16至图19B 所示的制程之前、期间及之后提供额外的操作,且以下所述的一些操作可以被 取代或减少。操作/制程的顺序可以互相交换。
图16及图17A是一些实施例的集成电路结构100b在制程中间阶段的透 视视图。图17B、图18及图19A是一些实施例的在制程中间阶段的集成电路 100b沿着第一切线(例如图17A中的切线X-X)的剖面视图,其是沿着通道的 纵向方向且垂直于基材的顶表面。图19B是图19A中的区域Ab的放大视图。
请参阅图16,磊晶堆叠120是形成在基材110上。关于磊晶堆叠120的 材料及制程细节是相似于图1中所讨论的磊晶堆叠120,因此为了简洁,不再 重复说明。(底部的)磊晶层124a具有厚度Ta,(中间的)磊晶层124b具有厚度 Tb,且(顶部的)磊晶层124c具有厚度Tc。在一些实施例中,厚度Ta、厚度 Tb及厚度Tc实质相同。在一些实施例中,厚度Ta、厚度Tb及厚度Tc的每 一者的范围为约2nm至约30nm。相似地,每一个磊晶层122具有厚度T。 磊晶层122可具有实质固定的厚度T。换言之,二个相邻磊晶层122之间的厚 度差与二个相邻磊晶层124a、磊晶层124b及/或磊晶层124c之间的厚度差实 质相同。
请参阅图17A及图17B,在图16中的沉积制程完成之后,图16的结构 经过与图2至图7B相似的制程。换言之,磊晶堆叠120是被图案化为半导体 鳍片130,隔离结构140是形成在基材110上,虚拟栅极结构150是形成在基 材110上且是至少部分地设置在鳍片130上,栅极间隙壁160是形成在虚拟栅 极结构150的侧壁上,侧向地延伸超过栅极间隙壁160的半导体鳍片的暴露部 分是被蚀刻以形成凹陷R1,磊晶层122是侧向地或水平地被凹陷以形成凹陷 R2,且内侧间隙壁材料层170是形成以填充凹陷R2。关于前述制程/元件的材 料及制程细节是相似于图2至图7B中所示者,因此为了简洁,在此不再重复 说明。
请参阅图18,在内侧间隙壁材料层170沉积之后,图17A及图17B经过 与图8至图10相似的制程。换言之,源极/漏极磊晶结构180是形成凹陷R1 中且在半导体鳍片130的源极/漏极区域S/D上,(选择性的接触蚀刻中止层及) 层间介电层210是形成在基材110上,虚拟栅极结构150(如图17A所示)是先 被移除,然后磊晶层(即牺牲层)122(如图17B所示)被移除。接着,进行另一蚀 刻制程,以过度蚀刻通道层124a、通道层124b及通道层124c,而形成开口 O1’。
通道层124a、通道层124b及通道层124c是通过异向性化学蚀刻制程310 而被蚀刻/凹陷。在一些实施例中,蚀刻可通过以电浆源及反应气体的异向性 化学蚀刻来进行。电浆源可为感应耦合式电浆(ICP)源、变压耦合式电浆(TCP) 源、电子回旋共振(ECR)源或相似者,且反应气体可为例如氟基气体(例如SF6、 CH2F2、CH3F、CHF3或相似物)、氯基气体(例如Cl2)、溴化氢气体(HBr)、氧 气(O2)、相似物或前述的组合。
在一些实施例中,通过调整蚀刻制程中的电浆能量及/或压力,通道层124a、 通道层124b及通道层124c的轮廓可被调整。举例而言,通道层(例如通道层 124c)愈高,通道层的蚀刻量愈多。换言之,通道层124c是被过度蚀刻的比通 道层124a更严重。在一些实施例中,蚀刻制程可在约450W至约4800W的 电浆源能量及约20mTorr至约12000mTorr的压力下,利用O3、O2、O2/N2、 O2/H2、O2/Ar及/或O2/He为蚀刻气体来进行。若电浆源能量是大于约4800W, 通道层124a、通道层124b及通道层124c可能被过度蚀刻;若电浆源能量是 小于约450W,通道层124a、通道层124b及通道层124c的蚀刻不足。若压力 小于约20mTorr,通道层124a、通道层124b及通道层124c的蚀刻不足;若 压力大于约12000mTorr,通道层124a、通道层124b及通道层124c可能被过 度蚀刻。
请参阅图19A,在图18的蚀刻制程310完成之后,图18的结构是经过与 图11A至图13A相似的制程。换言之,栅极结构220是形成在栅极沟渠GT1 及开口O1'中,栅极结构220是被回蚀,介电质盖230是形成在被回蚀的栅极 结构220之上,开口是形成在层间介电层210中,以暴露源极/漏极磊晶结构 180,且源极/漏极接触240是形成在开口内。关于前述制程/元件的材料及制程 细节是与图11A至图13A所示者相似,因此为了简洁,在此不再重复说明。
图19B是图19A中的区域Ab的放大视图。请参阅图19A及图19B。集 成电路结构100b包含基材110、在基材110上的通道层124a、通道层124b及 通道层124c、包围通道层124a、通道层124b及通道层124c的每一者的栅极 结构220、连接通道层124a、通道层124b及通道层124c的源极/漏极磊晶结 构180,及分别在源极/漏极磊晶结构180上的源极/漏极接触240。
通道层124c是靠近源极/漏极接触240,且通道层124a是远离源极/漏极 接触240。如上所述,厚的通道层124a及/或通道层124b降低通道层124a及/ 或通道层124b的电阻,以使流过通道层124a及/或通道层124b的驱动电流可 以增加。
在一些实施例中,通道层124a包含中心部分124ac及在中心部分124ac 的相对末端上的二个边缘部分124ae。边缘部分124ae比中心部分124ac厚。 中心部分124ac具有厚度Ta',且凹陷的深度D2及D3的总和是中心部分124ac 及边缘部分124ae之间的厚度差。相似地,通道层124b包含中心部分124bc 及在中心部分124bc的相对末端上的二个边缘部分124be。边缘部分124be比 中心部分124bc厚。中心部分124bc具有厚度Tb',且凹陷的深度D4及D5的 总和是中心部分124bc及边缘部分124be之间的厚度差。同样地,通道层124c 包含中心部分124cc及在中心部分124cc的相对末端上的二个边缘部分124ce。 边缘部分124ce比中心部分124cc厚。中心部分124cc具有厚度Tc',且凹陷 的深度D6及D7的总和是中心部分124cc及边缘部分124ce之间的厚度差。 在一些实施例中,基材部分112亦被蚀刻,以形成具有深度D1的凹陷,其中 深度D1是比深度D2至D7浅。
如上所述,通道层124a、通道层124b及通道层124c的蚀刻量可以调整, 以使深度D7(D6)大于深度D5(D4),其中深度D5(D4)大于深度D3(D2)。因此, 厚度Tc'是大于厚度Tb',其中厚度Tb'大于厚度Ta'。图19A至图19B中的集 成电路结构的其他相关结构及制程细节似实质相同或相似于图13A至图13B 及图15A至图15B中的集成电路结构,因此相关说明将不再重复。
基于上述讨论,可看出本揭露提供优势。然而,须理解的是,其他实施例 可提供不同的优势,且并非所有优势都有必要在此揭露,且没有特别的优势是 对所有实施例皆适用。一个优势是具有不同厚度的通道层可优化集成电路结构 的驱动电流。另一个优势是通道层的厚度可在沉积通道层时被决定。再另一优 势是可增加过度蚀刻制程,以进一步微调整通道层的厚度。再者,厚度是依据 不同应用来决定。
根据一些实施例,装置包含第一通道层、第二通道层、栅极结构、源极/ 漏极磊晶结构及源极/漏极接触。第一通道层及配置在第一通道层上的第二通 道层是以间隔分开的方式在基材上。栅极结构围绕第一通道层及第二通道层。 源极/漏极磊晶结构连接至第一通道层及第二通道层。源极/漏极接触连接至源 极/漏极磊晶结构。第二通道层比第一通道层更靠近源极/漏极接触,且第一通 道层比第二通道层更厚。
根据上述实施例,上述半导体装置还包含在第二通道层上的第三通道层。 且第二通道层及第三通道层是以间隔分开的方式在基材上。根据上述实施例, 第三通道层比第二通道层更靠近源极/漏极接触,且第二通道层比第三通道层 厚。根据上述实施例,第一通道层及第二通道层之间的距离与第二通道层及第 三通道层之间的距离实质相同。根据上述实施例,第一通道层包含中心部分及 边缘部分,中心部分被栅极结构包围,边缘部分相互连接第一通道层的中心部 分与源极/漏极磊晶结构,且第一通道层的边缘部分比第一通道层的中心部分 更厚。根据上述实施例,第二通道层包含中心部分及边缘部分,中心部分被栅 极结构包围,边缘部分相互连接第二通道层的中心部分与源极/漏极磊晶结构, 且第二通道层的边缘部分比第二通道层的中心部分更厚。根据上述实施例,栅 极结构包含栅极介电层,其是自第一通道层的边缘部分的内侧壁延伸至第二通 道层的边缘部分的内侧壁。根据上述实施例,第一通道层的边缘部分及中心部 分具有第一厚度差,第二通道层的边缘部分及中心部分具有第二厚度差,且第 二厚度差大于第一厚度差。根据上述实施例,上述半导体装置还包含内侧间隙 壁,其是在栅极结构及源极/漏极磊晶结构之间,内侧间隙壁接触第一通道层 的边缘部分,并与第一通道层的中心部分分离。根据上述实施例,第一通道层 的长度大于第二通道层的长度。
根据一些实施例,方法包含形成磊晶堆叠在基材上。磊晶堆叠包含第一牺 牲层、第一通道层、第二牺牲层及第二通道层依序在基材上。第一通道层的厚 度大于第二通道层的厚度。磊晶堆叠是被图案化为鳍片结构。形成虚拟栅极结 构穿过鳍片结构,以使虚拟栅极结构覆盖鳍片结构的第一部分,而使鳍片结构 的第二部分被暴露。鳍片结构的被暴露的第二部分是被移除。形成源极/漏极 磊晶结构在鳍片结构的第一部分内的第一通道层及第二通道层的相对末端表 面上。移除虚拟栅极结构,以暴露鳍片结构的第一部分。移除在鳍片结构的被 暴露的第一部分中的第一牺牲层及第二牺牲层,而留下在鳍片结构的被暴露的 第一部分中的第一通道层及第二通道层悬挂在基材上。形成栅极结构,以围绕 悬挂的第一通道层及第二通道层的每一者。
根据上述实施例,第一通道层的厚度与第二通道层的厚度之间的厚度差大 于0nm且小于或等于28nm。根据上述实施例,上述形成磊晶堆叠的步骤包 含以第一沉积时间形成第一通道层及以第二沉积时间形成第二通道层。第二沉 积时间是小于第一沉积时间。根据上述实施例,上述形成磊晶堆叠的步骤包含 以第一沉积时间形成第一通道层及以第二沉积时间形成第二通道层。第二沉积 时间与第一沉积时间实质相同。根据上述实施例,上述移除鳍片结构的被暴露 的第二部分的步骤是使第一通道层的第一部分的长度大于第二通道层的第一 部分的长度。
根据一些实施例,方法包含形成磊晶堆叠在基材上。磊晶堆叠包含第一牺 牲层、第一通道层、第二牺牲层及第二通道层依序在基材上。磊晶堆叠是被图 案至沿着第一方向延伸的鳍片结构中。形成虚拟栅极结构以沿着第二方向延伸 穿过鳍片结构,其中第二方向实质垂直第一方向。栅极间隙壁是分别在虚拟栅 极结构的相对侧上。移除虚拟栅极结构,以形成栅极沟渠在栅极间隙壁之间。 自栅极沟渠选择性地移除第一牺牲层及第二牺牲层,而留下第一通道层及第二 通道层悬挂在栅极沟渠内。在选择性地移除第一牺牲层及第二牺牲层之后,形 成凹陷分别在第一通道层及第二通道层内。第二通道层内的凹陷的深度大于第 一通道层内的凹陷的深度。在形成凹陷分别在第一通道层及第二通道层内之后, 形成栅极结构在栅极沟渠及凹陷内。
根据上述实施例,上述形成凹陷分别在第一通道层及第二通道层内的步骤 包含进行电浆异向性蚀刻制程,以形成凹陷在第一通道层及第二通道层内。根 据上述实施例,上述电浆异向性蚀刻制程是在450W至4800W的电浆源能量 下进行。根据上述实施例,上述电浆异向性蚀刻制程是在20mTorr至12000 mTorr的压力下进行。根据上述实施例,在上述形成凹陷分别在第一通道层及 第二通道层内的步骤之前,方法还包含形成内侧间隙壁在第一通道层及第二通 道层之间。
上述摘要许多实施例的特征,因此本领域具有通常知识者可更了解本揭露 的态样。本领域具有通常知识者应理解利用本揭露为基础可以设计或修饰其他 制程和结构以实现和所述实施例相同的目的及/或达成相同优势。本领域具有 通常知识者也应了解与此同等的架构并没有偏离本揭露的精神和范围,且可以 在不偏离本揭露的精神和范围下做出各种变化、交换和取代。

Claims (10)

1.一种半导体装置,其特征在于,包含:
一第一通道层及一第二通道层,其中该第二通道层配置在该第一通道层之上,并以间隔分开的方式在一基材上;
一栅极结构,围绕该第一通道层及该第二通道层;
一源极/漏极磊晶结构,连接至该第一通道层及该第二通道层;以及
一源极/漏极接触,连接至该源极/漏极磊晶结构,其中该第二通道层比该第一通道层更靠近该源极/漏极接触,且该第一通道层比该第二通道层更厚。
2.如权利要求1所述的半导体装置,其特征在于,还包含:
一第三通道层,在该第二通道层之上,并以间隔分开的方式在该基材上。
3.如权利要求1所述的半导体装置,其特征在于,该第一通道层包含一中心部分及一边缘部分,该中心部分被该栅极结构包围,该边缘部分相互连接该第一通道层的该中心部分与该源极/漏极磊晶结构,且该第一通道层的该边缘部分比该第一通道层的该中心部分更厚。
4.如权利要求3所述的半导体装置,其特征在于,该第二通道层包含一中心部分及一边缘部分,该中心部分被该栅极结构包围,该边缘部分相互连接该第二通道层的该中心部分与该源极/漏极磊晶结构,且该第二通道层的该边缘部分比该第二通道层的该中心部分更厚。
5.如权利要求3所述的半导体装置,其特征在于,还包含:
一内侧间隙壁,在该栅极结构及该源极/漏极磊晶结构之间,其中该内侧间隙壁接触该第一通道层的该边缘部分,并与该第一通道层的该中心部分分离。
6.如权利要求1所述的半导体装置,其特征在于,该第一通道层的一长度大于该第二通道层的一长度。
7.一种半导体装置的制造方法,其特征在于,包含:
形成一磊晶堆叠在一基材上,其中该磊晶堆叠包含一第一牺牲层、一第一通道层、一第二牺牲层及一第二通道层依序在该基材上,且该第一通道层的一厚度大于该第二通道层的一厚度;
图案化该磊晶堆叠为一鳍片结构;
形成一虚拟栅极结构,穿过该鳍片结构,以使该虚拟栅极结构覆盖该鳍片结构的一第一部分,此时该鳍片结构的多个第二部分被暴露;
移除该鳍片结构的被暴露的所述多个第二部分;
形成源极/漏极磊晶结构,在该鳍片结构的该第一部分内的该第一通道层及该第二通道层的相对末端表面上;
移除该虚拟栅极结构,以暴露该鳍片结构的该第一部分;
移除在该鳍片结构的该被暴露的该第一部分中的该第一牺牲层及该第二牺牲层,而留下在该鳍片结构的被暴露的该第一部分中的该第一通道层及该第二通道层悬挂在该基材上;以及
形成一栅极结构,以围绕悬挂的该第一通道层及该第二通道层的每一者。
8.如权利要求7所述的半导体装置的制造方法,其特征在于,该移除该鳍片结构的该被暴露的所述多个第二部分的步骤是使该第一通道层的该第一部分的一长度大于该第二通道层的该第一部分的一长度。
9.一种半导体装置的制造方法,其特征在于,包含:
形成一磊晶堆叠在一基材上,其中该磊晶堆叠包含一第一牺牲层、一第一通道层、一第二牺牲层及一第二通道层依序在该基材;
图案化该磊晶堆叠至一鳍片结构中,其中该鳍片结构沿着一第一方向延伸;
形成一虚拟栅极结构,沿着一第二方向延伸穿过该鳍片结构,其中该第二方向实质垂直该第一方向;
形成多个栅极间隙壁,分别在该虚拟栅极结构的相对侧上;
移除该虚拟栅极结构,以形成一栅极沟渠在所述多个栅极间隙壁之间;
自该栅极沟渠选择性地移除该第一牺牲层及该第二牺牲层,而留下该第一通道层及该第二通道层悬挂在该栅极沟渠内;
在选择性地移除该第一牺牲层及该第二牺牲层之后,形成多个凹陷分别在该第一通道层及该第二通道层内,其中该第二通道层内的该凹陷的一深度大于该第一通道层内的该凹陷的一深度;以及
在形成所述多个凹陷分别在该第一通道层及该第二通道层内之后,形成一栅极结构在该栅极沟渠及所述多个凹陷内。
10.如权利要求9所述的半导体装置的制造方法,其特征在于,该形成所述多个凹陷分别在该第一通道层及该第二通道层内的步骤包含进行一电浆异向性蚀刻制程,以形成所述多个凹陷在该第一通道层及该第二通道层内。
CN202110734556.3A 2021-04-08 2021-06-30 半导体装置及其制造方法 Pending CN114843268A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/225,306 US11942556B2 (en) 2021-04-08 2021-04-08 Semiconductor device and manufacturing method thereof
US17/225,306 2021-04-08

Publications (1)

Publication Number Publication Date
CN114843268A true CN114843268A (zh) 2022-08-02

Family

ID=82562297

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110734556.3A Pending CN114843268A (zh) 2021-04-08 2021-06-30 半导体装置及其制造方法

Country Status (3)

Country Link
US (2) US11942556B2 (zh)
CN (1) CN114843268A (zh)
TW (1) TW202240665A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8658518B1 (en) * 2012-08-17 2014-02-25 International Business Machines Corporation Techniques for metal gate work function engineering to enable multiple threshold voltage nanowire FET devices
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9893161B2 (en) * 2015-04-22 2018-02-13 Tokyo Electron Limited Parasitic capacitance reduction structure for nanowire transistors and method of manufacturing
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102413782B1 (ko) * 2016-03-02 2022-06-28 삼성전자주식회사 반도체 장치
US11004985B2 (en) * 2016-05-30 2021-05-11 Samsung Electronics Co., Ltd. Semiconductor device having multi-thickness nanowire
US11239359B2 (en) * 2018-09-29 2022-02-01 International Business Machines Corporation Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer
KR20200143988A (ko) * 2019-06-17 2020-12-28 삼성전자주식회사 집적회로 장치 및 그 제조 방법

Also Published As

Publication number Publication date
US11942556B2 (en) 2024-03-26
TW202240665A (zh) 2022-10-16
US20240030354A1 (en) 2024-01-25
US20220328698A1 (en) 2022-10-13

Similar Documents

Publication Publication Date Title
US11652043B2 (en) Integrated circuit structure with backside via
US20230361185A1 (en) Etch profile control of via opening
US20230361122A1 (en) Semiconductor device and manufacturing method thereof
US20230326978A1 (en) Etch profile control of gate contact opening
US20230187270A1 (en) Etch profile control of gate contact opening
US20230343855A1 (en) Integrated circuit structure
US20240030354A1 (en) Semiconductor device
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
US20230298934A1 (en) Etch profile control of gate contact opening
US20230253313A1 (en) Integrated circuit structure with backside via
US11967526B2 (en) Integrated circuit structure and manufacturing method thereof
US11955384B2 (en) Stacked semiconductor device with nanostructure channels and manufacturing method thereof
CN220821569U (zh) 半导体装置
US11942371B2 (en) Etch profile control of via opening

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication