CN114586035A - 确定制造半导体的制程 - Google Patents

确定制造半导体的制程 Download PDF

Info

Publication number
CN114586035A
CN114586035A CN202080074050.8A CN202080074050A CN114586035A CN 114586035 A CN114586035 A CN 114586035A CN 202080074050 A CN202080074050 A CN 202080074050A CN 114586035 A CN114586035 A CN 114586035A
Authority
CN
China
Prior art keywords
model
virtual
experiment
simulation
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080074050.8A
Other languages
English (en)
Inventor
卡皮尔·优曼许·萨维拉尼
阿塔施·巴苏
大卫·迈克尔·弗拉德
米卡尔·达内克
埃米莉·安·奥尔登
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114586035A publication Critical patent/CN114586035A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/27Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/337Design optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Geometry (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提出了使用机器学习(ML)来确定用于制造半导体的制程以加速制程的定义的方法、系统和计算机程序。一个一般方面包括一种方法,该方法包括用于执行用于处理部件的实验的操作,每个实验由识别用于制造设备的参数的一组制程中的一个制程控制。该方法还包括用于执行虚拟模拟以处理部件的操作,每个模拟由该组制程中的一个制程控制。通过使用实验结果和来自虚拟模拟的虚拟结果训练ML算法以获得ML模型。该方法还包括用于接收对部件的期望处理的规范,以及通过ML模型创建用于根据规范处理部件的新制程的操作。

Description

确定制造半导体的制程
优先权要求
本申请要求2019年10月23日提交的名称为“确定制造半导体的制程(Determination of Recipe for Manufacturing Semiconductor)”的美国临时专利申请No.62/925,157的优先权。该临时申请通过引用整体并入本文。
相关申请
本申请与2019年1月29日提交的名称为“使用特征比例建模进行填充工艺优化(Fill Process Optimization Using Feature Scale Modeling)”的美国专利申请No.16/260,870相关,该申请通过引用整体并入本文。
技术领域
本文公开的主题总体上涉及用于制造半导体的方法、系统和机器可读存储介质。
背景技术
本文提供的背景描述是为了一般地呈现本公开的上下文的目的。当前命名的发明人的工作,在本背景部分中描述的范围内,以及在申请时可能不符合现有技术条件的描述的方面,既不明确也不默示地承认为反对本公开的现有技术。
在半导体芯片的沉积工艺开发中使用的化学反应器往往具有许多相互依赖的子系统(例如,传感器、致动器、气体供给、电源、匹配网络)。这些子系统由工艺参数独立控制,这些工艺参数遵循控制系统制程中包含的一组指令。这些子系统的行为共同决定了产品晶片的输出性能。
现代工艺设备的复杂性增加意味着系统中的部件数量增加以应对这种复杂性,从而增加了系统中的工艺“旋钮”(例如,可调节的工艺参数)的数量。各种系统状态(压力、温度、流量设定点等)是影响晶片上所需输出的因素,例如膜的阶梯覆盖、膜的不均匀性、蚀刻深度等。
正确设置工艺参数值是半导体设备行业中的关键挑战,并且通常需要数周或数月的工艺开发时间来获得可以设置所有这些工艺参数以获得满足所需资格指标的部件的制程。
发明内容
提出了用于使用机器学习(ML)来确定用于制造半导体的制程以加速制程的定义的方法、系统和计算机程序。一个一般方面包括一种方法,该方法包括用于执行用于处理部件的实验的操作,每个实验由来自一组制程的一个制程控制,其识别制造设备的参数。该方法还包括用于执行用于处理该部件的虚拟模拟的操作,每个模拟由该组制程中的一个制程控制。ML模型是通过使用实验结果和来自虚拟模拟的虚拟结果训练ML算法而获得的。该方法还包括用于接收对部件的期望处理的规范、以及由ML模型创建用于基于规范处理部件的新制程的操作。
附图说明
各种附图仅图示了本公开的示例实施方案并且不能被视为限制其范围。
图1示出了根据一些示例实施方案的用于查找半导体制造制程的过程。
图2示出了根据一些示例实施方案的用于使用制程进行虚拟实验的模拟工具的使用。
图3图示了根据一些示例实施方案使用机器学习来加速制程的定义。
图4示出了根据一些示例实施方案的机器学习程序使用的一些特征。
图5A图示了根据一些示例实施方案的机器学习程序的训练和使用。
图5B图示了根据一些示例实施方案的具有主动过程控制的机器学习程序的使用。
图6显示了沉积-抑制-沉积(DID)沉积过程的示例,使用抑制控制增强(ICE)填充,其可以使用行为模型进行优化。
图7是根据一些示例实施方案的蚀刻室。
图8是根据一些示例实施方案的用于确定制造半导体的制程的方法的流程图。
图9是图示机器的示例的框图,在该机器上或通过该机器可以实施或控制本文描述的一个或多个示例性过程实施方案。
具体实施方式
示例方法、系统和计算机程序旨在确定用于制造半导体的制程。示例仅代表可能的变化。除非另有明确说明,否则部件和功能是可选的,可以组合或细分,操作可以按顺序变化或组合或细分。在以下描述中,出于解释的目的,阐述了许多具体细节以提供对示例实施方案的透彻理解。然而,对于本领域技术人员来说显而易见的是,可以在没有这些具体细节的情况下实践本主题。
图1示出了根据一些示例实施方案的用于查找半导体制造制程的过程。当前的制程开发方法基于来自工艺工程团队的主题专家的历史经验。
将产品要求102给到基于过去经验设计(操作106)实验的专家104。产品要求102的一个示例是特征上所需的沉积量。
该实验包括由专家104基于产品要求102创建的制程。然而,找到正确的制程可能是一个困难的过程,尤其是当产品要求可能是大约1纳米的精度。
实验在实验室中运行108,测量结果并将其与原始产品要求102进行比较110。一些示例结果指标包括导体的均匀层厚度和电阻率。
进行检查112以确定结果是否足以匹配产品要求102。如果结果是足够的,则在114找到制程;如果结果不足够,则方法返回操作106,其中专家基于结果调整制程以改进制程以更接近产品要求102。
随着系统中处理参数数量的增加,逐渐难以真正隔离和研究各个因素的影响以及它们对期望结果的影响。制造工具很复杂,并且有许多可配置的参数,例如气流、等离子体特性、热特性等。例如,典型的原子层沉积(ALD)工艺有100-200个可调参数。
标准实验技术,例如单变量测试(SVT)和实验统计设计(DOE)(例如,全因子、筛选设计、响应面模型、混合模型、田口阵列)允许确定实验因素对结果,以及研究不同因素之间的相关性的影响。然而,这些方法需要许多实验来确定各种处理参数的影响。为了获得近乎完美的制程,工艺工程师或工艺工程师团队通常需要花费数周(或数月)的时间,并且需要进行许多测试,这会增加晶片开发的成本。
此外,当测试一个参数时,没有对其他参数进行优化,并且实验可能会遇到局部最小值,如果一起处理多个参数,这将阻止专家在正确的方向上开发制程。
这种方法的一个限制是它是非常手动的,需要大量的工具时间来运行测试和执行计量,并且需要额外的时间来进行分析以便为下一轮测试做出后续改变。这导致了多个学习周期,在某些复杂的情况下可能需要几个月。通常,实验所考虑的因素并不包括所有可能的变量,模型的相关系数表明了模型的可预测程度。虽然存在阻止设计和筛选设计以在实验环境中测试更多因素,但这需要规划实验设置方面的专业知识。最终结果是一样的:需要进行许多测试,这既昂贵又耗时。
图2图示了根据一些示例实施方案使用模拟工具来使用制程进行虚拟实验。本文提出的实施方案描述了使用机器学习(ML)和模拟工具206来查找工艺制程的方法。
为了最小化物理测试和相关计量所招致的成本,以及加快工艺开发时间(减少实验和学习周期),工艺的模拟用于测试示例制程202。一个示例模拟工具是来自LamResearch的SEMulator3D,它基于行为模型210所模拟的预期行为提供半导体工艺的体素模型。然而,相同的原理可以应用于其他模拟工具。
行为模型210基于分析公式描述过程的输出。行为模型210定性地捕捉过程,但不就定义工艺参数的量提供对工艺开发的指导。
例如,对于沉积工艺,存在两个表面B,在两个表面之一中选择性沉积,而在另一个表面中没有选择性沉积。该模型测量整个表面的沉积厚度。例如,某些区域的沉积厚度为0.72,而另一些区域的沉积厚度为0.71。行为模型不研究室内粒子的行为,而是测量操作的结果(例如,沉积厚度)。
作为示例,ALD系统可以具有数百个定义制程202的参数204。这些参数204的非详尽列表包括常见的工具参数(例如,ALD循环的数量、存在浸泡、工具的操作模式等)、流参数(例如,各种气体的流率、流浓度、稀释气体、用于站隔离的非反应性气体等)、室调节或预涂参数(例如,预涂温度、预涂时间等)、压力参数(例如,室压力、储存器压力、节流阀角度、前体安瓿压力、真空夹紧压力等)、成核化学参数(例如,剂量时间、剂量流量、化学浓度、循环等),温度参数(例如,基座温度、安瓿温度、室温度、喷头温度等)、ALD时间参数(例如,前体A剂量时间、清洗时间、前体B剂量时间、预热时间等),以及其他杂项参数。这些参数可以用作ML模型的特征,如下面参考图4所述。
在某些情况下,参数对预测输出存在线性相关性,而在某些情况下,存在非线性相关性。预测这些相关性并构建适当的模型并非易事。此外,今天使用的方法不考虑几何相关性(即上游工艺集成步骤)。
模拟工具206构建如果制程202通过工艺运行将在衬底上发生的情况的三维模型,并且模拟工具206生成模拟结果,其由计量214测量。计量214提供对模拟结果212的测量,并且计量214包括诸如层厚度、电阻率等的项目。图像分析可用于检查模拟结果212,但也可使用其他类型的计量214。
物理模型208是对衬底上的物理操作的描述并且通常基于第一原理但也可以使用具有基于物理和化学的基础的ML和统计方法来凭经验驱动。
物理模型208考虑了室参数,例如压力、温度、物质通量(每秒穿过单位面积表面的粒子数量)等。物理模型208分析这些参数以预测影响过程的物理颗粒的行为(例如,物质通量)。例如,通量值会影响沉积厚度,因为更高的通量值意味着相比更低的通量值更高的沉积厚度。这些参数可以用作ML模型的特征,如下面参考图4所述。
在一些示例实施方案中,经由物理模型208链接行为模型210和真实世界工艺制程的桥是通过一些相关方法生成的。这些相关方法包括多元回归方法、神经网络、决策树、支持向量机(SVM)等。在一些示例实施方案中,物理模型208可以是解决物理的不同方面的多个模型的组合。此外,在一些示例实施方案中,行为模型210可以是多个模型的组合,其中每个模型涵盖不同的行为方面。
模拟工具206利用行为模型210和物理模型208来生成模拟结果212,也称为虚拟结果,因为实际上并未执行实验。
将模拟结果212与产品要求102进行比较以确定制程202是否将满足产品要求102。如果模拟结果212是令人满意的,则已经找到工作制程。否则,可以使用不同的制程202执行新的模拟,以继续搜寻正确的制程202。
成功的模拟意味着更少的测试,这转化为时间节省和成本节省。对于不成功的模拟,可以将结果反馈到模型中,以提高未来预测的准确性。
图3图示了根据一些示例实施方案使用机器学习以加速制程的定义。ML是一种应用程序,它通过基于数据分析中发现的模式进行推断,为计算机系统提供执行任务的能力,而无需明确编程。ML依靠数据能够从数据中学习以进行推断。
在一些示例实施方案中,ML的数据包括从在半导体制造工具上执行的实际实验302产生的实验结果306,以及从模拟304产生的虚拟结果308。实验302和模拟304可以使用相同或不同制程202。该方法可以应用于多种半导体制造操作,例如沉积、蚀刻和清洁。
运行实际实验302是昂贵且耗时的。然而,运行模拟304更快且更便宜。因此,可运行许多模拟304(例如,从十到一千或更多)以获得可用于训练机器学习算法的许多虚拟结果308。例如,可以通过改变有关参数的值来运行模拟304,以便能够预测如何调整这些有关参数以创建新的制程316。
在操作310,使用来自实验结果306和虚拟结果308的数据训练ML算法。操作310中的训练结果是ML模型314,其被配置为接收所需的部件312(例如,产品要求)并生成新制程316。在一些示例实施方案中,实验的数量在从10到100的范围内,尽管其他值也是可能的。此外,模拟304的数量在从100到100,000的范围内,尽管其他值也是可能的。
在一些示例实施方案中,实验结果306被给予比用于训练的虚拟结果308更高的权重(操作310),因为可能存在更少的实验结果306,并且实际实验结果306比从模拟304获得的虚拟结果308更准确。此外,其他数据可以用于训练,例如从实验库获得的数据。下面参考图5A提供更多关于ML的细节。
ML模型314的若干次迭代可用于搜寻满足产品要求102的最佳制程。新制程316可用于实验(真实或虚拟)以及用于训练ML算法的数据,以提高ML模型314的准确性。
本文提出的实施方案将室设定点和传感器数据与基于真实计量数据(图像数据、膜特性数据等)校准的模拟器行为模型联系起来。在一些示例实施方案中,可以利用诸如贝叶斯推断之类的方法以使用确定新设计空间的现有知识。此外,基于物理的建模指导可以与行为模型结合使用,以进一步提高工艺参数和模型输出相关过程的准确性。
基于虚拟模型结果推断工艺参数对工艺性能的影响。这不仅加快了基于模型的工艺理解,还将工艺与工具参数相关联,这些工具参数可以由工艺工程师和技术人员轻松理解,并被转化以用于工具上的工艺优化。因此,所提出的解决方案减少了实验成本和时间。更少的迭代导致更高的操作效率。
在一些示例实施方案中,不同的ML模型314用于不同的半导体制造操作。例如,为沉积操作(例如,使用实验和模拟以用于沉积)创建一个ML模型,为蚀刻操作创建另一个模型,为清洁衬底创建另一个模型等。
ML模型314的好处之一是可以在搜索新制程316时探索行为参数以及物理参数。
一个示例应用用于使用抑制分布进行沉积。在2019年1月29日提交的名称为“使用特征比例建模进行填充工艺优化(Fill Process Optimization Using Feature ScaleModeling)”的美国专利申请No.16/260,870中描述了来自dep-inhibit-dep模型或dep-etch-dep模型的行为调整的校准行为模型,通过引用将其并入本文。校准的行为模型关联于实验变量,例如剂量时间、清洗时间、各种抑制化学物质的流率、系统压力、晶片温度、每个输入特征几何的分子传输等。来自测试集的这些变量(实验运行的小批量样本)用于训练ML模型,该ML模型基于使用例如梯度下降的优化方法校准的一个或多个ML模型弥合过程结果和模拟行为之间的差距。基于模型校准的样本空间,提取并实验验证对过程有很大影响的关键参数,以获得理想的制程。该模型考虑了结构的几何,这在其他分析方法中往往被忽略了更多细节。下面参考图6提供有关此过程的更多详细信息。
另一个沉积示例是用于3D NAND WL(字线)填充和粗糙度控制,其中ALD系统中的反应物质不仅必须行进高纵横比(HAR)结构的整个长度,而且还必须横向流到内部WL。除了与分子传输相关的挑战之外,这些过程通常非常快速地完成(对于以下步骤,每个循环不到一秒:前体剂量-清洗-还原剂剂量-清洗)以匹配客户预期的吞吐量。
由于晶粒生长导致的粗糙度可能导致夹断和空隙形成,并且可以通过抑制某些区域中的生长来调节。该模型基于垂直和横向结构中的反应扩散模型预测分布的行为。实验数据允许校准模型并将其关联于工具参数,例如前体剂量时间、化学清洗时间、还原剂剂量时间、抑制分子剂量时间、系统压力、晶片温度和如在模拟器中所建模的结构的几何形状。基于用于训练数据的样本集,ML模型用于基于工艺参数关联优化解决方案(例如,无空隙膜、低粗糙度膜、潜在的沉积后回蚀等)的结果。
图4示出了根据一些示例实施方案的机器学习程序使用的一些特征402。ML算法使用特征来表示数据。特征是被观察现象的个体可测量性质。特征的概念与线性回归等统计技术中使用的解释变量的概念相关。选择提供有用信息的、可区分的和独立的特征对于ML在模式识别、分类和回归中的有效操作很重要。特征可能有不同的类型,例如数字特征、字符串和图形。
在一些示例实施方案中,用于寻找工艺制程的ML算法的特征402包括制程特征404、实验结果特征406、虚拟结果特征408和计量特征410。其他模型可以使用附加特征或者只使用这些特征的一个子集。
制程特征402包括与制程相关的参数,例如工作流程、气流、室温度、室压力、步骤持续时间、射频(RF)值(例如,频率、电压)等。
实验结果特征406和虚拟结果特征408包括从所得半导体测量的值,例如保形性、横向比、各向同性比、沉积深度、全局粘附系数、表面相关粘附系数、延迟厚度、中性离子比、离子角分布函数等。
计量特征410包括计量使用的指标,例如成像方法(例如,扫描电子显微镜(SEM)、透射电子显微镜(TEM))、典型厚度测量(例如,X射线荧光(XRF)、椭圆光度法)、薄层电阻、表面电阻率、应力测量和其他用于确定层厚度、成分、晶粒取向等的分析方法。这些其他分析方法包括以下各项中的一种或多种:X射线衍射(XRD)、X射线反射率(XRR)、旋进电子衍射(PED)、电子能量损失光谱(EELS)、能量色散X射线光谱(EDS)、二次离子质谱(SIMS)等。
在一些示例实施方案中,计量包括时间序列数据,其包括针对给定参数随时间获取的传感器测量值,例如在制造过程中室中的压力如何随时间演变。
图5A图示了根据一些示例实施方案的机器学习程序的训练和使用。在一些示例实施方案中,机器学习程序(MLP),也称为机器学习算法或工具,用于执行与确定制造半导体的制程相关联的操作。
机器学习探索算法(在本文中也称为工具)的研究和构建,这些算法可以从现有数据中学习并对新数据进行预测。这样的机器学习算法通过从示例训练数据512构建ML模型314来操作,以进行数据驱动的预测或表示为输出或评估的决定,例如寻找新制程316。虽然示例实施方案是关于一些机器学习工具呈现的,但本文呈现的原理也可以应用于其他机器学习工具。
ML有两种常见的模式:有监督ML和无监督ML。有监督ML使用现有知识(例如,将输入与输出或结果相关联的示例)来学习输入和输出之间的关系。有监督ML的目标是学习一个函数,在给定一些训练数据的情况下,该函数最接近训练输入和输出之间的关系,从而ML模型可以在给定输入时实现相同的关系以生成相应的输出。无监督ML是使用既未分类也未标记的信息训练ML算法,并允许算法在没有指导的情况下对这些信息采取行动。无监督ML在探索性分析中是有用的,因为它可以自动识别数据中的结构。
有监督ML的常见任务是分类问题和回归问题。分类(classification)问题(也称为归类(categorization)问题)旨在将项目分类为几个类别值之一(例如,这个对象是苹果还是橙子?)。回归算法旨在量化某些项目(例如,通过为某些输入的值提供分数)。常用的有监督ML算法的一些示例是逻辑回归(LR)、朴素贝叶斯、随机森林(RF)、神经网络(NN)、深度神经网络(DNN)、矩阵分解和支持向量机(SVM)。
无监督ML的一些常见任务包括聚类、表示学习和密度估计。常用的无监督ML算法的一些示例是K均值聚类(K-means clustering)、主成分分析和自动编码器。
在一些实施方案中,示例机器学习算法确定用于制造半导体的新制程316。机器学习算法利用训练数据512来寻找影响结果的识别特征402之间的相关性。在一个示例实施方案中,特征可以是不同类型的并且可以包括上面参考图4描述的特征402。
在训练操作310期间,ML算法基于识别的特征402和为训练定义的配置参数511分析训练数据512。训练操作310的结果是能够接受输入以产生评估的ML模型314。
通常,训练ML算法涉及分析大量数据(例如,从千兆字节到太字节或更多),以便寻找数据相关性。ML算法利用训练数据512来寻找影响结果或评估(例如,新制程316)的识别的特征402之间的相关性。在一些示例实施方案中,训练数据512包括标记数据,其是用于一个或多个识别的特征402和一个或多个结果(例如计量测量)的已知数据。
ML算法通常在找到ML算法识别为数据内的最佳相关性之前探索许多可能的函数和参数;因此,训练可能需要大量的计算资源和时间。
许多ML算法包括配置参数511,并且ML算法越复杂,用户可用的参数511就越多。配置参数511定义用于搜索最佳ML模型的ML算法的变量。配置参数包括模型参数和超参数。模型参数是从训练数据中学习的,而超参数不是从训练数据中学习的,而是提供给ML算法的。
模型参数的一些示例包括最大模型大小、通过训练数据的最大次数、数据混洗类型、回归系数、决策树拆分位置等。
在一些示例实施方案中,代表性模型参数是标量或上下文属性。标量属性的一个示例是已确定的物理或行为模型参数,例如沉积速率或抑制深度。此外,上下文属性是依赖于其他属性(例如,上下文)的属性,并且可以包括物理、统计和机器学习衍生的关系。特别地,物理上下文可以是相对于纵横比的沉积速率。
统计上下文的示例包括使用主成分分析(PCA)或线性判别分析(LDA)的参数减少。PCA是一种降维方法,用于通过将变量的大集合转换为仍然包含大集合中大部分信息的较小集合来降低大数据集的维度。因为较小的数据集更易于探索和可视化,并且使机器学习算法更容易和更快地分析数据,而无需处理无关变量。
线性判别分析(LDA)是一种用于寻找特征的线性组合的方法,该特征的线性组合表征或分离两类或更多类对象或事件。所得组合可用作线性分类器,或用于分类前的维度降低。
机器学习衍生上下文的示例是自动编码器、神经网络或经过训练的回归器。当这些标量或上下文属性已被验证为代表实验数据时,它们就是具有代表性的模型参数,并用作后续建模工作的输入。当这些参数是模拟工作的产物时,它们被称为“虚拟结果”或“模拟结果”。
超参数可以包括神经网络中隐藏层的数量、每层中隐藏节点的数量、学习率(可能具有针对学习率的各种适应方案)、正则化参数、非线性激活函数的类型等。寻找正确(或最佳)的超参数集可能是一项非常耗时的任务,需要大量的计算机资源。
当ML模型314用于执行评估时,规范518作为输入提供给ML模型314,并且ML模型314生成新制程316作为输出。
图5B图示了根据一些示例实施方案的具有主动过程控制的机器学习程序的使用。在一些示例实施方案中,最终制程的目标是主动过程控制。主动过程控制是一种用于补偿输入、下游或环境变化的过程校正方法。例如,在处理某个结构时响应先前的输出,可以增加沉积循环的数量以补偿在更大结构上要完成的工作。
可以部署经过训练的ML模型314以确定哪些工艺参数将满足控制目标。输入520包括制程的控制规范和所需的主动过程控制。产生的具有控制参数的新制程522将包含取决于过程运行时的本地控制要求的设定点。
图6显示了沉积-抑制-沉积(DID)沉积过程的示例,该过程使用抑制-控制-增强(ICE)填充,其可以使用行为模型进行优化。在一些示例实施方案中,行为模型采用过程抽象来预测由一个或多个半导体器件制造操作产生的部件的结构细节。行为模型的示例在通过引用并入本文的美国专利No.9,015,016和美国专利No.9,659,126中提出。
未填充的部件602在预填充阶段606示出。部件602可以形成在半导体衬底上的一层或多层中,并且可以可选地具有在部件602的侧壁和/或底部形成的一层或多层。目标是避免部件602的填充内出现空隙。
在阶段608,在填充材料的初始沉积以形成要在部件602中填充的材料604的层之后显示部件602。材料604的示例包括钨、钴、钼和钌,尽管本文描述的技术可用于优化任何适当的材料604的填充,适当的材料604包括其他导体和电介质,例如氧化物(例如,SiOx、AbO3)、氮化物(例如,SiN、TiN)和碳化物(例如,SiC)。
在阶段610,部件602显示在抑制处理之后。抑制处理是具有抑制随后在处理过的表面614上沉积的效果的处理。抑制可以涉及取决于各种因素(包括待处理的表面、抑制化学物质以及抑制是热的还是等离子体处理)的各种机制。在一个实施方案中,钨成核(且因此钨沉积)通过暴露于含氮化学物质而受到抑制。例如,这可以涉及通过远程或直接等离子体发生器产生活化的含氮物质,或在热(非等离子体)处理的示例中暴露于氨蒸气。
抑制机制的示例可以包括活化物质和部件表面之间的化学反应以形成诸如氮化钨(WN)或碳化钨(WC)的复合材料的薄层。在一些实施方案中,抑制可以涉及表面效应,例如吸附,其钝化表面而不形成复合材料层。
抑制可以通过抑制深度616和抑制梯度来表征;即,抑制可以随深度而变化,使得在部件602开口处的抑制大于在部件602底部处的抑制,并且可以仅延伸到部件602中的一部分。在所描绘的示例中,抑制深度616大约是整个部件602深度的一半。此外,抑制处理在部件602的顶部更强。因为在部件602开口附近抑制了沉积,所以在第二沉积阶段Dep 2 612期间,材料优先沉积在部件602底部,而在部件602开口处不沉积或沉积程度较小。这可以防止在填充的部件602内形成空隙和缝隙。因此,在第二沉积Dep 2阶段612期间,材料604可以以这样一种方式填充:其特征在于自下而上填充而不是保形的第一沉积Dep 1填充。
随着沉积的继续,抑制的效果可以被去除,使得在轻度处理的表面上的沉积可能不再被抑制。这在阶段612进行了说明,处理后的表面614比Dep-2阶段之前的范围更小。在所示示例中,随着第二沉积Dep 2的进行,最终在所有表面上克服抑制并且部件完全填充有材料604,如阶段614所示。
虽然只显示了一个抑制循环,但该过程可以包括几个沉积和抑制循环。行为建模用于微调制程以控制沉积和抑制参数,从而消除填充中的空隙并且填充材料满足要求。计量用于测量沉积和抑制的不同指标,包括填充中空隙的出现。
图7是根据一个实施方案的蚀刻室700。在两个电极之间激发电场是在蚀刻室中获得射频(RF)气体放电的方法之一。当在电极之间施加振荡电压时,所获得的放电称为电容耦合等离子体(CCP)放电。
等离子体702可以利用稳定的原料气体产生,以获得由电子-中性碰撞引起的各种分子的解离产生的多种化学反应副产物。蚀刻的化学方面涉及中性气体分子及其解离的副产物与待蚀刻表面分子的反应,并产生挥发性分子,这些分子可以被抽走。当产生等离子体时,正离子从等离子体中加速穿过将等离子体与室壁隔开的空间电荷鞘,以足够的能量撞击晶片表面以从晶片表面去除材料。这被称为离子轰击或离子溅射。然而,一些工业等离子体不能产生具有足够能量的离子以通过纯物理方式有效地蚀刻表面。
控制器716通过控制室700中的不同元件(例如RF发生器718、气体源722和气泵720)来管理室700的操作。在一个实施方案中,碳氟气体例如CF4和C-C4F8因其各向异性和选择性蚀刻能力而被用于电介质蚀刻工艺,但本文所述的原理可应用于其他产生等离子体的气体。碳氟气体很容易分解成化学反应副产物,包括较小的分子和原子自由基。这些化学反应副产物会腐蚀掉介电材料,在一个实施方案中,对于低k器件,该介电材料可以是SiO2或SiOCH。
室700示出了具有顶部电极704和底部电极708的处理室。顶部电极704可以接地或耦合到RF发生器(未示出),并且底部电极708通过匹配网络714耦合到RF发生器718。RF发生器718以一种、两种或三种不同的RF频率提供RF功率。根据用于特定操作的室700的期望配置,可以打开或关闭三种RF频率中的至少一者。在图7所示的实施方案中,RF发生器718提供2MHz、27MHz和60MHz频率,但其他频率也是可能的。
室700包括在顶部电极704上的气体喷头,以将由气体源722提供的气体输入到室700中,以及穿孔的限制环712,其允许通过气泵720将气泵出室700。在一些示例性实施方案中,气泵720是涡轮分子泵,但是可以利用其他类型的气泵。
当衬底706存在于室700中时,硅聚焦环710位于衬底706旁边,使得在等离子体702的底表面处存在均匀的RF场以用于在衬底706的表面上进行均匀的蚀刻。图7的实施方案示出了三极管反应器配置,其中顶部电极704被对称的RF接地电极724围绕。绝缘体726是将接地电极724与顶部电极704隔离的电介质。
可以为晶片制造过程中的特定目的选择每个频率。在图7的示例中,以2MHz、27MHz和60MHz提供RF功率,2MHz RF功率提供离子能量控制,27MHz和60MHz功率提供对等离子体密度和化学物质解离模式的控制。这种配置(其中可以打开或关闭每个RF功率)能够实现在衬底或晶片上使用超低离子能量的某些工艺,以及离子能量必须低(低于700或200eV)的某些工艺(例如低k材料的软蚀刻)。
在另一个实施方案中,在顶部电极704上使用60MHz RF功率以获得超低能量和非常高的密度。当衬底706不在室700中时,这种配置允许用高密度等离子体清洁室,同时最小化静电卡盘(ESC)表面上的溅射。当衬底706不存在时,ESC表面暴露出来,并且应该避免表面上的任何离子能量,这就是底部2MHz和27MHz电源可能在清洁期间关闭的原因。
图8是根据一些示例实施方案的用于确定用于半导体制造的制程的方法800的流程图。尽管顺序地呈现和描述了该流程图中的各种操作,但普通技术人员将理解,一些或所有操作可以以不同的顺序执行、组合或省略、或并行执行。
在操作802,执行用于处理部件的多个实验。每个实验由识别用于制造设备的参数的多个制程中的一个制程控制。
从操作802,方法800流向操作804,用于执行用于处理部件的多个虚拟模拟。每个模拟由多个制程中的一个制程控制。
从操作804,方法800流向操作806,其中通过使用实验结果和来自虚拟模拟的虚拟结果训练ML算法来获得ML模型。
从操作806,方法800流向操作808,用于接收对部件的期望处理的规范。在操作810,ML模型基于规范产生用于处理部件的新制程。
在一个示例中,ML模型基于包括制程特征、实验结果特征、虚拟结果特征和计量特征的多个特征。
在一个示例中,计量特征包括以下各项的一者或多者:成像方法、透射电子显微镜、典型厚度测量、薄层电阻、表面电阻率、应力测量和用于确定层厚度、成分、晶粒或方向的分析方法。
在一个示例中,制程特征包括工作流程、气流、室温度、室压力、步骤持续时间和射频(RF)值。
在一个示例中,虚拟模拟由模拟工具基于行为建模执行。
在一个示例中,实验结果包括从部件的处理中测量的值,该值包括横向比,各向同性比,沉积深度,全局粘附系数,表面相关的粘附系数,延迟厚度,中性离子比(neutral-to-ion ration)和离子角分布函数。
在一个示例中,基于用于实验制程在半导体制造装置上执行每个实验,其中执行一个实验以测量从先前实验中使用的先前制程改变一个参数值的效果。
在一个示例中,部件的处理用于使用抑制分布的沉积过程。
在一个示例中,部件的处理用于在3D NAND字线(WL)填充中的沉积。
另一个一般方面是用于包括存储器的系统,该存储器包括指令和一个或多个计算机处理器。该指令在由一个或多个计算机处理器执行时,使一个或多个计算机处理器执行包括以下操作的操作:执行用于处理部件的多个实验,每个实验由识别用于制造设备的参数的多个制程中的一个制程控制;执行多个虚拟模拟以用于处理该部件,每个模拟由多个制程中的一个制程控制;通过使用实验结果和来自虚拟模拟的虚拟结果训练ML算法以获得机器学习(ML)模型;接收对部件的期望处理的规范;并通过ML模型创建用于根据规范处理部件的新制程。
在又一个一般方面,机器可读存储介质(例如,非暂时性存储介质)包括指令,当由机器执行时,该指令使机器执行包括以下操作的操作:执行多个实验以用于处理,每个实验由识别用于制造设备的参数的多个制程中的一个制程控制;执行多个虚拟模拟以处理该部件,每个模拟由多个制程中的一个制程控制;通过使用实验结果和来自虚拟模拟的虚拟结果训练ML算法以获得机器学习(ML)模型;接收对部件的期望处理的规范;并通过ML模型创建用于根据规范处理部件的新制程。
图9是图示机器900的示例的框图,在该机器上或通过该机器可以实施或控制本文描述的一个或多个示例性过程实施方案。在替代实施方案中,机器900可以作为独立设备操作或者可以连接(例如,联网)到其他机器。在联网部署中,机器900可以在服务器-客户端网络环境中以服务器机器、客户端机器或两者的能力操作。在示例中,机器900可以充当对等(P2P)(或其他分布式)网络环境中的对等机器。此外,虽然仅图示了单个机器900,但术语“机器”也应被理解为包括例如通过云计算、软件即服务(SaaS)或其他计算机集群配置单独或联合执行一组(或多组)指令以执行本文讨论的任何一种或多种方法的机器的任何集合。
如本文所述,示例可以包括逻辑、多个部件或机制,或者可以通过逻辑、多个部件或机制来操作。电路系统(circuitry)是在包括硬件(例如,简单电路、门、逻辑)的有形实体中实现的电路的集合。随着时间的推移和潜在的硬件可变性,电路系统成员可以是灵活的。电路系统包括在操作时可以单独或组合执行特定操作的成员。在一个示例中,电路系统的硬件可以不可变地被设计成执行特定操作(例如,硬接线)。在一个示例中,电路系统的硬件可以包括可变连接的物理部件(例如,执行单元、晶体管、简单电路),其包括物理修改(例如,磁性地、电气地、通过不变的质量粒子的可移动放置)以编码特定操作的指令的计算机可读介质。在连接物理部件时,硬件部件的潜在电气特性会发生变化(例如,从绝缘体变为导体,反之亦然)。这些指令使嵌入式硬件(例如,执行单元或加载机制)能够通过可变连接在硬件中创建电路的成员,以在操作时执行特定操作的部分。因此,当设备操作时,计算机可读介质可通信地耦合到电路系统的其他部件。在一个示例中,任何物理部件可以用于一个以上电路系统的一个以上成员中。例如,在操作下,执行单元可以在一个时间点在第一电路系统的第一电路中使用并且在不同的时间被第一电路系统中的第二电路或第二电路系统中的第三电路重用。
机器(例如,计算机系统)900可以包括硬件处理器902(例如,中央处理单元(CPU)、硬件处理器核或其任何组合)、图形处理单元(GPU)903、主存储器904和静态存储器906,其中一些或全部可以通过互连链路(例如,总线)908相互通信。机器900还可以包括显示设备910、字母数字输入设备912(例如,键盘)和用户界面(UI)导航设备914(例如,鼠标)。在示例中,显示设备910、字母数字输入设备912和UI导航设备914可以是触摸屏显示器。机器900可以另外包括大容量存储设备(例如驱动单元)916、信号生成设备918(例如扬声器)、网络接口设备920和一个或多个传感器921,例如全球定位系统(GPS)传感器、指南针、加速度计或其他传感器。机器900可以包括输出控制器928,例如串行(例如,通用串行总线(USB))、并行或其他有线或无线(例如,红外线(IR)、近场通信(NFC))连接以与一个或多个外围设备进行通信或控制一个或多个外围设备,例如,打印机、读卡器。
大容量存储设备916可以包括机器可读介质922,其上存储了体现本文描述的任何一种或多种技术或功能、或由本文描述的任何一种或多种技术或功能使用的一组或多组数据结构或指令924(例如,软件))。指令924还可以在由机器900执行期间完全或至少部分地驻留在主存储器904内、静态存储器906内、硬件处理器902内或GPU 903内。在一个示例中,硬件处理器902、GPU 903、主存储器904、静态存储器906或大容量存储设备916的一或任意组合可以构成机器可读介质。
虽然机器可读介质922被示为单个介质,但术语“机器可读介质”可以包括被配置为存储一个或多个指令924的单个介质或多个介质(例如,集中式或分布式数据库,和/或相关联的高速缓存和服务器)。
术语“机器可读介质”可以包括能够存储、编码或携带指令924以供机器900执行并且使机器900执行本发明的任何一种或多种技术的任何介质,或者能够存储、编码或携带由此类指令924使用或与此类指令924相关联的数据结构的任何介质。非限制性机器可读介质示例可以包括固态存储器以及光学和磁性介质。在一个示例中,大量机器可读介质包括机器可读介质922,该机器可读介质922具有多个具有不变(例如,静止)质量的粒子。因此,大量机器可读介质不是瞬态传播信号。大量机器可读介质的具体示例可以包括非易失性存储器,例如半导体存储器设备(例如,电可编程只读存储器(EPROM)、电可擦除可编程只读存储器(EEPROM))和闪存设备;磁盘,例如内部硬盘和可移动磁盘;磁光盘;和CD-ROM和DVD-ROM磁盘。
指令924还可通过网络接口设备920使用传输介质在通信网络926上传输或接收。
在整个本说明书中,多个实例可以实现描述为单个实例的部件、操作或结构。尽管一种或多种方法的单独操作被图示和描述为单独的操作,也可以同时执行一个或多个单独的操作,并且不要求以所示的顺序执行这些操作。在示例配置中呈现为单独部件的结构和功能可以实现为组合结构或部件。类似地,作为单个部件呈现的结构和功能可以实现为单独的部件。这些和其他变化、修改、添加和改进均落入本文主题的范围内。
在此说明的实施方案被足够详细地描述以使本领域技术人员能够实践所公开的教导。可以使用其他实施方案并且可以从中派生出其他实施方案,从而可以在不脱离本公开的范围的情况下进行结构和逻辑替换和改变。因此,具体实施方式不应理解为限制性意义,并且各种实施方案的范围仅由所附权利要求以及这些权利要求所享有的全部等效物范围来定义。
如本文所用,术语“或”可以被解释为包括或排他的意义。此外,可以为本文描述的资源、操作或结构提供多个实例作为单个实例。另外,各种资源、操作、模块、引擎和数据存储之间的边界在某种程度上是任意的,并且在特定说明性配置的上下文中说明了特定操作。可以设想其他功能分配并且可以落入本公开的各种实施方案的范围内。通常,在示例配置中呈现为单独资源的结构和功能可以实现为组合结构或资源。类似地,呈现为单个资源的结构和功能可以实现为单独的资源。这些和其他变化、修改、添加和改进落入如所附权利要求所表示的本公开的实施方案的范围内。因此,说明书和附图被认为是说明性的而不是限制性的。

Claims (21)

1.一种方法,其包括:
执行用于处理部件的多个实验,每个实验由识别用于制造设备的参数的多个制程中的一个制程控制;
执行多个虚拟模拟以处理所述部件,每个模拟由所述多个制程中的一个制程控制;
通过使用实验结果和来自所述虚拟模拟的虚拟结果训练ML算法,获得机器学习(ML)模型;
接收对所述部件的期望处理的规范;和
通过所述ML模型创建用于根据所述规范处理所述部件的新制程。
2.根据权利要求1所述的方法,其中所述ML模型基于包括制程特征、实验结果特征、虚拟结果特征和计量特征的多个特征。
3.根据权利要求2所述的方法,其中所述计量特征包括以下各项中的一种或多种:成像方法、透射电子显微镜、典型厚度测量、薄层电阻、表面电阻率、应力测量和用于确定层厚度、组成、颗粒或方向的分析方法。
4.根据权利要求2所述的方法,其中所述制程特征包括工作流程、气流、室温度、室压力、步骤持续时间和射频(RF)值。
5.根据权利要求2所述的方法,其中所述ML模型包括主动过程控制以确定工艺参数以满足控制目标,所述ML模型的输入包括所述制程的控制目标和期望的主动过程控制。
6.根据权利要求1所述的方法,其中所述虚拟模拟由模拟工具基于行为建模执行。
7.根据权利要求1所述的方法,其中所述实验结果包括从所述部件的所述处理中测得的值,所述值包括以下各项中的一项或多项:横向比、各向同性比、沉积深度、全局粘附系数、表面相关粘附系数、延迟厚度、中性离子比和离子角分布函数。
8.根据权利要求1所述的方法,其中每个实验基于用于所述实验的制程在半导体制造装置上执行,其中执行一个实验以测量改变来自在先前实验中使用的先前制程的一个参数的值的效果。
9.根据权利要求1所述的方法,其中所述处理所述部件用于使用抑制分布的沉积过程。
10.根据权利要求1所述的方法,其中所述处理所述部件用于在3DNAND字线(WL)填充中的沉积。
11.一种系统,其包括:
包括指令的存储器;和
一个或多个计算机处理器,其中所述指令在由一个或多个计算机处理器执行时使所述系统执行包括以下操作的操作:
执行用于处理部件的多个实验,每个实验由识别用于制造设备的参数的多个制程中的一个制程控制;
执行多个虚拟模拟以处理所述部件,每个模拟由所述多个制程中的一个制程控制;
通过使用实验结果和来自虚拟模拟的虚拟结果训练ML算法,获得机器学习(ML)模型;
接收对所述部件的期望处理的规范;和
通过ML模型创建用于根据所述规范处理所述部件的新制程。
12.根据权利要求11所述的系统,其中所述ML模型基于包括制程特征、实验结果特征、虚拟结果特征和计量特征的多个特征。
13.根据权利要求12所述的系统,其中所述计量特征包括以下各项中的一项或多项:成像方法、透射电子显微镜、典型厚度测量、薄层电阻、表面电阻率、应力测量和用于确定层厚度、成分、颗粒或方向的分析方法。
14.根据权利要求12所述的系统,其中所述制程特征包括工作流程、气流、室温度、室压力、步骤持续时间和射频(RF)值。
15.根据权利要求11所述的系统,其中所述实验结果包括从所述部件的所述处理中测得的值,所述值包括以下各项中的一项或多项:横向比、各向同性比、沉积深度、全局粘附系数、表面相关粘附系数、延迟厚度、中性离子比和离子角分布函数。
16.根据权利要求11所述的系统,其中每个实验基于用于所述实验的制程在半导体制造装置上执行,其中执行一个实验以测量改变来自在先前实验中使用的先前制程的一个参数的值的效果。
17.一种有形的机器可读存储介质,其包括指令,当由机器执行时,所述指令使所述机器执行包括以下操作的操作:
执行用于处理部件的多个实验,每个实验由识别用于制造设备的参数的多个制程中的一个制程控制;
执行多个虚拟模拟以处理所述部件,每个模拟由所述多个制程中的一个制程控制;
通过使用实验结果和来自虚拟模拟的虚拟结果训练ML算法,获得机器学习(ML)模型;
接收对所述部件的期望处理的规范;和
通过ML模型创建用于根据所述规范处理所述部件的新制程。
18.根据权利要求17所述的有形的机器可读存储介质,其中所述ML模型基于包括制程特征、实验结果特征、虚拟结果特征和计量特征的多个特征。
19.根据权利要求18所述的有形的机器可读存储介质,其中所述计量特征包括以下各项中的一项或多项:成像方法、透射电子显微镜、典型厚度测量、薄层电阻、表面电阻率、应力测量和用于确定层厚度、成分、颗粒或方向的分析方法。
20.根据权利要求18所述的有形的机器可读存储介质,其中所述制程特征包括工作流程、气流、室温度、室压力、步骤持续时间和射频(RF)值。
21.根据权利要求17所述的有形的机器可读存储介质,其中所述实验结果包括从所述部件的所述处理中测得的值,所述值包括以下各项中的一项或多项:横向比、各向同性比、沉积深度、全局粘附系数、表面相关粘附系数、延迟厚度、中性离子比和离子角分布函数。
CN202080074050.8A 2019-10-23 2020-10-22 确定制造半导体的制程 Pending CN114586035A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962925157P 2019-10-23 2019-10-23
US62/925,157 2019-10-23
PCT/US2020/056876 WO2021081213A1 (en) 2019-10-23 2020-10-22 Determination of recipe for manufacturing semiconductor

Publications (1)

Publication Number Publication Date
CN114586035A true CN114586035A (zh) 2022-06-03

Family

ID=75619385

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080074050.8A Pending CN114586035A (zh) 2019-10-23 2020-10-22 确定制造半导体的制程

Country Status (5)

Country Link
US (2) US11836429B2 (zh)
JP (1) JP2022552845A (zh)
KR (1) KR20220083811A (zh)
CN (1) CN114586035A (zh)
WO (1) WO2021081213A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021240572A1 (ja) * 2020-05-25 2021-12-02 株式会社日立ハイテク 半導体装置製造システムおよび半導体装置製造方法
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
US11989495B2 (en) * 2020-12-31 2024-05-21 Applied Materials, Inc. Systems and methods for predicting film thickness using virtual metrology
US11862520B2 (en) * 2021-02-03 2024-01-02 Applied Materials, Inc. Systems and methods for predicting film thickness of individual layers using virtual metrology
US20230128610A1 (en) * 2021-10-25 2023-04-27 Kla Corporation Continuous Machine Learning Model Training for Semiconductor Manufacturing
US20230135102A1 (en) * 2021-11-02 2023-05-04 Applied Materials, Inc. Methods and mechanisms for process recipe optimization
US20230147976A1 (en) * 2021-11-11 2023-05-11 Applied Materials, Inc. Coded substrate material identifier communication tool
TW202407483A (zh) * 2022-05-06 2024-02-16 日商東京威力科創股份有限公司 模型產生方法、電腦程式及資訊處理裝置
US20240086597A1 (en) * 2022-09-14 2024-03-14 Applied Materials, Inc. Generation and utilization of virtual features for process modeling
CN116205188B (zh) * 2023-05-04 2023-08-29 粤芯半导体技术股份有限公司 半导体工艺配方创建方法、装置、存储介质及电子设备

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102057329B (zh) * 2008-06-03 2013-08-21 Asml荷兰有限公司 基于模型的过程模拟的方法
US8501499B2 (en) 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
US10102480B2 (en) 2014-06-30 2018-10-16 Amazon Technologies, Inc. Machine learning service
WO2016061283A1 (en) 2014-10-14 2016-04-21 Skytree, Inc. Configurable machine learning method selection and parameter optimization system and method
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US11580375B2 (en) 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10211099B2 (en) * 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
EP3530463A1 (en) * 2018-02-26 2019-08-28 Koh Young Technology Inc. Apparatus and method of generating control parameter of screen printer
CN111566566B (zh) * 2018-06-14 2022-04-08 诺威有限公司 半导体制造的度量和制程控制
KR20210036962A (ko) * 2018-08-28 2021-04-05 에이에스엠엘 네델란즈 비.브이. 최적의 계측 안내 시스템들 및 방법들
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
WO2020142301A1 (en) * 2019-01-02 2020-07-09 Kla Corporation Machine learning for metrology measurements
WO2021034495A1 (en) * 2019-08-16 2021-02-25 Synopsys, Inc. Applying reticle enhancement technique recipes based on failure modes predicted by an artificial neural network
JP7224265B2 (ja) * 2019-09-18 2023-02-17 株式会社荏原製作所 機械学習装置、基板処理装置、学習済みモデル、機械学習方法、機械学習プログラム
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
US11513504B2 (en) * 2019-10-18 2022-11-29 Applied Materials, Inc. Characterizing and monitoring electrical components of manufacturing equipment
US11556117B2 (en) * 2019-10-21 2023-01-17 Applied Materials, Inc. Real-time anomaly detection and classification during semiconductor processing
US11562118B2 (en) * 2021-01-04 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Hard-to-fix (HTF) design rule check (DRC) violations prediction

Also Published As

Publication number Publication date
WO2021081213A1 (en) 2021-04-29
US20220374572A1 (en) 2022-11-24
KR20220083811A (ko) 2022-06-20
US11836429B2 (en) 2023-12-05
US20240095432A1 (en) 2024-03-21
JP2022552845A (ja) 2022-12-20

Similar Documents

Publication Publication Date Title
US11836429B2 (en) Determination of recipes for manufacturing semiconductor devices
US20230049157A1 (en) Performance predictors for semiconductor-manufacturing processes
KR102583830B1 (ko) 제조 동안의 고급 반도체 프로세스 최적화 및 적응형 제어
KR102648517B1 (ko) 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
TWI772325B (zh) 電漿處理狀態的控制方法與系統
KR102039394B1 (ko) 탐색 장치 및 탐색 방법
CN112074940A (zh) 结合有集成半导体加工模块的自感知校正异构平台及其使用方法
US10977405B2 (en) Fill process optimization using feature scale modeling
US20220245307A1 (en) Hybrid physics/machine learning modeling of processes
Choi et al. Machine learning-based virtual metrology on film thickness in amorphous carbon layer deposition process
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
TW202340884A (zh) 預防保養後的腔室條件監控及模擬
Sawlani et al. Perspectives on artificial intelligence for plasma-assisted manufacturing in semiconductor industry
TWI837288B (zh) 使用特徵部尺度建模的填充製程最佳化
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
Kenney et al. Deep Learning Regression of VLSI Plasma Etch Metrology
Lenz Smart feature selection to enable advanced virtual metrology
CN117836895A (zh) 制造系统处的多级rf脉冲监测和rf脉冲化参数优化
CN117131952A (zh) 用于防止机器学习模型性能的波动的方法和机制
TW202414132A (zh) 用於防止機器學習模型性能的波動的方法和機制
KR20230140535A (ko) 기판 제조 동안 패턴화된 기판 속성들을 측정하기 위한 방법들 및 메커니즘들
CN118020083A (zh) 使用缺陷模型估计缺陷风险并优化处理配方
KR20230151494A (ko) 모델 성능 튜닝을 위한 가상 노브들을 생성하기 위한 방법들 및 메커니즘들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination