CN114566415A - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
CN114566415A
CN114566415A CN202011355183.0A CN202011355183A CN114566415A CN 114566415 A CN114566415 A CN 114566415A CN 202011355183 A CN202011355183 A CN 202011355183A CN 114566415 A CN114566415 A CN 114566415A
Authority
CN
China
Prior art keywords
substrate
reaction chamber
plasma processing
processing apparatus
electrode assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011355183.0A
Other languages
Chinese (zh)
Inventor
徐朝阳
吴磊
王凯麟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Fabrication Equipment Inc Shanghai
Original Assignee
Advanced Micro Fabrication Equipment Inc Shanghai
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Fabrication Equipment Inc Shanghai filed Critical Advanced Micro Fabrication Equipment Inc Shanghai
Priority to CN202011355183.0A priority Critical patent/CN114566415A/en
Priority to TW110140201A priority patent/TWI800061B/en
Publication of CN114566415A publication Critical patent/CN114566415A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

A plasma processing apparatus includes: the reaction chamber comprises a reaction chamber side wall, the reaction chamber side wall is provided with a substrate conveying port, and the top of the substrate conveying port has a first distance to the bottom of the reaction chamber; the movable lower electrode assembly is arranged at the bottom in the reaction cavity and used for bearing a substrate to be processed; the plasma confinement device is arranged around the periphery of the movable lower electrode assembly, and the bottom of the plasma confinement device has a second distance from the bottom of the reaction chamber, wherein the second distance is greater than the first distance; the shielding plate is positioned between the movable lower electrode assembly and the side wall of the reaction cavity and is used for sealing the substrate transmission port; the first driving device is used for driving the shielding plate to move so as to seal the substrate transmission port. The plasma processing device can reduce particle pollution, ensure the smoothness of a sheet conveying path and improve the etching uniformity of the substrate to be processed on different phase angles.

Description

Plasma processing apparatus
Technical Field
The invention relates to the field of semiconductors, in particular to a plasma processing device.
Background
In various processes of semiconductor device fabrication, plasma processing is a key process for processing a substrate to be processed into a designed pattern. In a typical plasma processing process, a process gas is excited by Radio Frequency (RF) to form a plasma. The plasmas have physical bombardment effect and chemical reaction with the surface of the substrate to be processed after the action of an electric field (capacitive coupling or inductive coupling) between the upper electrode and the lower electrode, so that the surface of the substrate to be processed is processed.
Generally, a substrate transfer port is disposed on a sidewall of a reaction chamber of a plasma processing apparatus, and the substrate transfer port is used for transferring a substrate to be processed, however, particle contaminants are easily accumulated on the sidewall of the reaction chamber, and during a substrate transfer process, the accumulated particle contaminants fall on a surface of the substrate to be processed, which may cause contamination of the substrate to be processed, influence on the substrate to be processed, and yield of the substrate to be processed.
Disclosure of Invention
The technical problem to be solved by the invention is to provide a plasma processing device to reduce particle pollution, ensure the smoothness of a sheet conveying path and improve the etching uniformity of a substrate to be processed on different phase angles.
In order to solve the above technical problem, the present invention provides a plasma processing apparatus, comprising: a reaction chamber comprising a reaction chamber sidewall, the reaction chamber sidewall having a substrate transfer port, the substrate transfer port having a first distance to a bottom of the reaction chamber; the movable lower electrode assembly is arranged at the bottom in the reaction cavity and used for bearing a substrate to be processed; the plasma confinement device is arranged around the periphery of the movable lower electrode assembly and has a second distance from the bottom of the reaction cavity, and the second distance is smaller than the first distance; the shielding plate is positioned between the movable lower electrode assembly and the side wall of the reaction cavity and is used for sealing the substrate transmission port; and the first driving device is used for driving the shielding plate to move up and down.
Optionally, the first driving device is further configured to drive the movable lower electrode assembly to move up and down.
Optionally, the method further includes: and the second driving device is used for driving the movable lower electrode assembly to move up and down.
Optionally, the side wall of the reaction chamber having the substrate transfer port includes: a first sidewall portion above the substrate transfer opening and a second sidewall portion below the substrate transfer opening, the first sidewall portion having a dimension greater than a dimension of the second sidewall portion along a plane parallel to the movable bottom electrode assembly; when the first driving device is used for enabling the shielding plate to seal the substrate transmission port, the top of the shielding plate is abutted against the lower side of the first side wall portion.
Optionally, steps are arranged around the substrate transmission port; the shielding plate comprises a first shielding part and a second shielding part extending outwards from the bottom of the first shielding part; further comprising: and the sealing devices are positioned on the surfaces of the first shielding part and the second shielding part, and are attached to the step when the shielding plate seals the substrate transmission port.
Optionally, the first driving device includes: the plasma confinement device comprises a driving rod, a sliding plate, a pulley and a compressible part, wherein one end of the driving rod is connected with a driver, the other end of the driving rod is connected with the sliding plate, the sliding plate is provided with a sliding surface, the pulley can move along the sliding surface, the distance from the top to the bottom of the sliding surface to the side wall of the reaction cavity is gradually reduced, the other end of the pulley is connected with a shielding plate, and the top of the sliding plate is fixed with the plasma confinement device through the compressible part.
Optionally, the method further includes: the mounting substrate is arranged at the top of the reaction chamber; and the gas spray header is positioned below the mounting substrate, is opposite to the movable lower electrode assembly and is used for conveying reaction gas into the reaction cavity.
Optionally, the method further includes: and the mechanical arm device is used for taking out or placing the substrate to be processed.
Optionally, the method further includes: and the vacuum pump is used for enabling the reaction cavity to be in a vacuum environment.
Compared with the prior art, the technical scheme of the embodiment of the invention has the following beneficial effects:
in the plasma processing device provided by the technical scheme of the invention, although the position of the substrate transmission port is lower than that of the plasma confinement device, and the side wall of the reaction cavity is not heated, so that the temperature near the substrate transmission port is lower, when a substrate to be processed is transmitted onto the movable lower electrode assembly and then is subjected to process treatment, the shielding plate is used for shielding the substrate transmission port, so that particle pollution below the plasma confinement device is difficult to accumulate on the side wall of the substrate transmission port, the inner side wall of the substrate transmission port is cleaner, and the substrate in the transmission process is difficult to cause particle pollution. Moreover, the first driving device can drive the shielding plate to move up and down, and when the substrate to be processed needs to be transmitted in or out, the shielding plate is moved down to ensure the smoothness of a sheet transmission path; in addition, a substrate transmission port is not formed in the side wall of the reaction cavity above the plasma confinement device, so that the etching uniformity of the substrate to be processed on different phase angles is better. In conclusion, the plasma processing device can reduce particle pollution, ensure the smoothness of the sheet conveying path and improve the etching uniformity of the substrate to be processed on different phase angles.
Drawings
FIG. 1 is a schematic view of a plasma processing apparatus according to the present invention;
FIG. 2 is a schematic view of a substrate to be processed being picked and placed by the plasma processing apparatus of FIG. 1;
FIG. 3 is a schematic view of another plasma processing apparatus according to the present invention;
FIG. 4 is a schematic structural view of another plasma processing apparatus according to the present invention;
FIG. 5 is a schematic view of another plasma processing apparatus according to the present invention.
Detailed Description
As described in the background art, the particle contamination of the substrate to be processed is serious in the conventional plasma processing apparatus, and therefore, the present invention is directed to provide a plasma processing apparatus for reducing the particle contamination of the substrate to be processed and ensuring the smoothness of the sheet transfer path, which will be described in detail below:
FIG. 1 is a schematic structural diagram of a plasma processing apparatus according to the present invention.
Referring to fig. 1, a plasma processing apparatus 1 includes: a reaction chamber comprising a reaction chamber sidewall, the reaction chamber sidewall having a substrate transfer port 110, the substrate transfer port 110 having a first distance to a bottom of the reaction chamber; the movable lower electrode assembly 101 is arranged at the bottom in the reaction cavity and used for bearing a substrate W to be processed; a plasma confinement device 105 disposed around the periphery of the movable bottom electrode assembly 101 and having a second distance from the bottom of the reaction chamber, wherein the second distance is greater than the first distance; a shielding plate 103 located between the movable bottom electrode assembly 101 and the side wall of the reaction chamber for sealing the substrate transfer port 110; and the first driving device is used for driving the shielding plate 103 to move up and down.
In this embodiment, the plasma processing apparatus is a capacitively-coupled plasma processing apparatus, and the plasma processing apparatus further includes: the gas shower head 112 is arranged below the mounting substrate 111, the mounting substrate 111 and the gas shower head 112 are arranged at the top of the reaction cavity, the gas shower head 112 is arranged opposite to the movable lower electrode assembly 101, and the gas shower head 112 is used for conveying reaction gas into the reaction cavity. Further, the plasma processing apparatus includes: and a vacuum pump (not shown) for making the reaction chamber a vacuum environment. The gas shower head 112 serves as an upper electrode of the reaction chamber, and the movable lower electrode assembly 101 includes a base (not shown) and an electrostatic chuck 102 disposed above the base, and serves as a lower electrode of the reaction chamber, and a reaction region is formed between the upper electrode and the lower electrode. The plasma processing device further comprises at least one radio frequency power supply (not shown in the figure), wherein the radio frequency power supply is applied to one of the upper electrode or the lower electrode through a matching network (not shown in the figure), a radio frequency electric field is generated between the upper electrode and the lower electrode so as to dissociate reaction gas into plasma, the plasma contains a large number of active particles such as electrons, ions, excited atoms, molecules, free radicals and the like, and the active particles can perform various physical and chemical reactions with the surface of the substrate W to be processed, so that the appearance of the surface of the substrate to be processed is changed, and the etching process is completed.
For capacitively coupled plasma processing apparatus, the path of the rf loop is: the movable lower electrode assembly 101 is transferred to plasma, then transferred to the gas spray header 112 through the plasma, transferred to the top of the reaction cavity through the gas spray header 112, and then transferred to the side wall of the reaction cavity from the top of the reaction cavity, and the side wall of the reaction cavity is grounded to form a radio frequency loop. To improve the uniformity of the rf distribution, a substrate transfer port 110 is disposed below the plasma confinement arrangement 105, i.e.: the substrate transfer port 110 is a first distance from the bottom of the reaction chamber, the plasma confinement device 105 is a second distance from the bottom of the reaction chamber, and the second distance is greater than the first distance, so that the rf distribution above the plasma confinement device 105 is relatively uniform, which is beneficial to improving the etching uniformity of the substrate W to be processed at different phase angles.
Wherein the substrate transfer port 110 is used for transferring a substrate W to be processed, and the plasma processing apparatus further comprises: a valve plate 104 for sealing the substrate transfer port 110 outside the reaction chamber.
Because the temperature of the plasma is high and the plasma is located between the movable bottom electrode assembly 101 and the gas showerhead 112, particulate contaminants are less likely to accumulate above the plasma confinement arrangement 105. However, particle contaminants tend to build up below the plasma confinement device 105 due to the lower temperature of the plasma in the absence of plasma. Also, for capacitively coupled plasma etching apparatus, the sidewalls of the reaction chamber are typically not heated, making the inner sidewalls of the substrate transfer port 110 more susceptible to particle contamination build-up.
In order to prevent the accumulation of particle contaminants around the substrate transfer port 110, during the process of processing the surface of the substrate W to be processed, the shielding plate 103 is moved upwards by the first driving device to shield the substrate transfer port 110, so that the particle contaminants are likely to be generated even if the temperature below the plasma confinement device 105 is low, but the substrate transfer port 110 is shielded by the shielding plate 103, so that the particle contaminants are not likely to be accumulated at the substrate transfer port 110, and even if the substrate transfer port 110 is slightly shaken by opening and closing the valve plate, the particle contaminants do not fall onto the surface of the substrate W to be processed, thereby being beneficial to improving the yield of the substrate W to be processed.
In this embodiment, the reaction chamber having the substrate transfer port 110 includes: the first sidewall 100a located above the substrate transfer port 110 and the second sidewall 100b located below the substrate transfer port 110 are parallel to the surface of the movable lower electrode assembly 101, and the size of the first sidewall 100a is larger than that of the second sidewall 100b, when the shielding plate 103 is driven by the first driving device to move upwards to shield the substrate transfer port 110, the top of the shielding plate 103 abuts against the bottom of the first sidewall 100a, so that the substrate transfer port 110 can be completely shielded, and no plasma leaks into the inner sidewall of the substrate transfer port 110, therefore, the substrate transfer port 110 is not prone to particle contamination accumulation, and no particle contamination is prone to occur when a substrate W to be processed is transferred through the substrate transfer port 110 subsequently.
When the film is required to be transferred, the first driving device can be used for enabling the shielding plate 103 to move downwards, so that the substrate transmission port 110 is opened, the movable lower electrode assembly 101 also moves downwards, and the manipulator device is used for achieving the taking and placing of the substrate W to be processed.
In one embodiment, the movable bottom electrode assembly 101 is also moved up and down by the first driving means because: when the surface of the substrate W to be processed needs to be processed, the movable lower electrode assembly 101 needs to be moved towards the gas shower head 112, so that the distance between the lower electrode assembly 101 and the gas shower head 112 meets the process requirements. During the process treatment of the surface of the substrate to be treated, the shielding plate 103 is also required to move upwards to shield the substrate transfer port 110, so as to prevent the particle pollutants from accumulating on the inner side wall of the substrate transfer port 110; when the surface of the substrate W to be processed is processed, the substrate needs to be transferred out of the reaction chamber, at this time, the lower electrode assembly 101 is required to move downwards, and the shielding plate 103 is required to move downwards to open the substrate transfer port 110, so that the requirements for moving the movable lower electrode assembly 101 and the shielding plate 103 up and down are consistent, and therefore, the movable lower electrode assembly 101 and the shielding plate 103 can move up and down by the first driving device, so that the complexity of the plasma processing device is low, and the cost is reduced.
In another embodiment, the plasma processing apparatus further comprises a second driving device (not shown in the figure), and the movable lower electrode assembly 101 is moved up and down by the second driving device, that is: the movable lower electrode assembly 101 and the shielding plate 103 are driven by different driving devices, and are not affected by each other.
In this embodiment, the plasma confinement device 105 is stationary during the up and down movement of the movable lower electrode assembly 101; the plasma confinement arrangement 105 is sealed from the movable bottom electrode assembly 101 by a bellows.
Fig. 2 is a schematic view of a structure for picking and placing a substrate to be processed by using the plasma processing apparatus of fig. 1.
When the surface of the substrate W to be processed is processed, the movable bottom electrode assembly 101 is moved downward, the shielding plate 103 is moved downward, the substrate transfer port 110 is opened, the manipulator device 106 extends into the reaction chamber, the substrate W to be processed is taken out, and when the substrate carried by the manipulator device 106 after processing is moved to the substrate transfer port 110, no particle pollutants are accumulated around the substrate transfer port 110, so that the substrate W does not fall down on the surface of the substrate, which is beneficial to improving the yield of the substrate.
FIG. 3 is a schematic view of another plasma processing apparatus according to the present invention.
Referring to fig. 3, the plasma processing apparatus 20 includes: a reaction chamber comprising a reaction chamber sidewall 201, the reaction chamber sidewall 201 having a substrate transfer port 202, the substrate transfer port 202 having a first distance to the bottom of the reaction chamber 200; a movable lower electrode assembly 203 arranged at the bottom in the reaction chamber 200 and used for bearing a substrate W to be processed; a plasma confinement device 204 disposed around the periphery of the movable bottom electrode assembly 203 and having a second distance from the bottom of the reaction chamber 200, wherein the second distance is greater than the first distance; a shielding plate 205 located between the movable bottom electrode assembly 203 and the sidewall of the reaction chamber 200 for sealing the substrate transfer port 202; a first driving means for driving the shutter 205 to move to seal the substrate transfer port 202.
In this embodiment, the side wall of the reaction chamber having the substrate transfer port 202 includes: a first sidewall portion 201a located above the substrate transfer port 202 and a second sidewall portion 201b located below the substrate transfer port 202, a size of the first sidewall portion 201a being equal to a size of the second sidewall portion 201b in a direction parallel to a surface of the movable bottom electrode assembly 203; the first driving means moves the shutter 205 upward to be opposed to the substrate transfer port 202 and then moves the shutter 205 toward the substrate transfer port 202 to seal the substrate transfer port 202. In order to make the shielding plate 205 move up and down smoothly, a gap is left between the shielding plate 205 and the sidewall of the reaction chamber. In addition, in the process of processing the substrate W to be processed, the first driving device is used to dispose the shielding plate 205 opposite to the substrate transfer port 202, so as to prevent the accumulation of particle contaminants at the substrate transfer port 202. Of course, in order to better block the deposition of particulate contaminants at the substrate transfer port 202, the first driving means may also have the function of moving the shutter 205 towards the substrate transfer port 202 to completely seal the substrate transfer port 202.
FIG. 4 is a schematic structural diagram of another plasma processing apparatus according to the present invention.
Referring to fig. 4, the plasma processing apparatus 30 includes: a reaction chamber comprising a reaction chamber sidewall 300, wherein the reaction chamber sidewall 300 has a substrate transfer port 310, and the substrate transfer port 310 has a first distance from the bottom of the reaction chamber; the movable lower electrode assembly 301 is arranged at the bottom in the reaction chamber and used for bearing a substrate W to be processed; a plasma confinement device 305 disposed around the periphery of the movable bottom electrode assembly 301 and having a second distance from the bottom of the reaction chamber, wherein the second distance is greater than the first distance; a shielding plate 303 disposed between the movable bottom electrode assembly 301 and the sidewall 300 of the reaction chamber for sealing the substrate transfer port 310; a first driving means for driving the shutter 303 to move to seal the substrate transfer port 310.
In this embodiment, a step 302 is disposed around the substrate transfer port 310; the shielding plate 303 includes a first shielding portion 303a and a second shielding portion 303b extending outward from the bottom of the first shielding portion 303 a; further comprising: when the shielding plate 303 seals the substrate transmission port 310, the sealing device is attached to the step 302, so that the substrate transmission port 310 is completely sealed by the shielding plate 303, and therefore, in the process of processing the substrate W to be processed, particles are not easily accumulated around the substrate transmission port 310 to cause pollution, and the subsequent substrate W to be processed is not easily polluted when the substrate transmission port 310 goes in and out, so that the yield of the substrate W to be processed is favorably improved.
FIG. 5 is a schematic view of another plasma processing apparatus according to the present invention.
Referring to fig. 5, the plasma processing apparatus 40 includes: a reaction chamber 401 comprising a reaction chamber sidewall having a substrate transfer port 402, the substrate transfer port 402 having a first distance to the bottom of the reaction chamber 401; a movable lower electrode assembly 403 arranged at the bottom of the reaction chamber 401 and used for bearing a substrate W to be processed; a plasma confinement device 404 disposed around the periphery of the movable bottom electrode assembly 403 and having a second distance from the bottom of the reaction chamber 401, wherein the second distance is greater than the first distance; a shielding plate 405 located between the movable lower electrode assembly 403 and the sidewall of the reaction chamber 401 for sealing the substrate transfer port 402; a first driving means for driving the shutter 405 to move to seal the substrate transfer port 402.
In this embodiment, the first driving device includes: the plasma confinement device comprises a driving rod 406a, a sliding plate 406, a pulley 406c and a compressible member 407, wherein one end of the driving rod 406a is connected with the driving device, the other end of the driving rod 406a is connected with the sliding plate 406, the sliding plate 406 is provided with a sliding surface A, the pulley 406c can move along the sliding surface A, the distance from the top to the bottom of the sliding surface A to the side wall of the reaction chamber 401 is gradually reduced, the other end of the pulley 406c is connected with a shielding plate 405, and the upper part of the sliding plate is fixed with the plasma confinement device 404 through the compressible member 407. Thus, the actuator rod 406a is driven by the actuator, and the actuator rod 406a pushes up the slide plate 406b, the shutter 405, and the compressible member 407 to move toward the plasma confinement device 404 until the compressible member 407 moves to contact the plasma confinement device 404, at which time the substrate transfer ports 402 are opposite. Then, by further applying the upward pressure, the compressible member 407 is compressed, and the pulley 406c will move downward along the slide surface a, and since the distance from the slide surface a at the lower end of the slide plate 406b to the side wall of the reaction chamber 401 is reduced, so that the shielding plate 405 is pushed laterally toward the substrate transfer port 402, the substrate transfer port 402 is sealed by the shielding plate 405, thereby advantageously preventing the deposition of particle contaminants at the substrate transfer port 402 and reducing the contamination of the substrate to be processed.
Although the present invention is disclosed above, the present invention is not limited thereto. Without departure, by any person skilled in the art. Various changes and modifications can be made within the spirit and scope of the invention, and the scope of the invention should be determined by the appended claims.

Claims (10)

1. A plasma processing apparatus, comprising:
the reaction chamber comprises a reaction chamber side wall, the reaction chamber side wall is provided with a substrate conveying port, and the top of the substrate conveying port has a first distance to the bottom of the reaction chamber;
the movable lower electrode assembly is arranged at the bottom in the reaction cavity and used for bearing a substrate to be processed;
the plasma confinement device is arranged around the periphery of the movable lower electrode assembly, and the bottom of the plasma confinement device has a second distance from the bottom of the reaction chamber, wherein the second distance is greater than the first distance;
the shielding plate is positioned between the movable lower electrode assembly and the side wall of the reaction cavity and is used for sealing the substrate transmission port;
the first driving device is used for driving the shielding plate to move so as to seal the substrate conveying port.
2. The plasma processing apparatus of claim 1 wherein the first drive means is further for driving the movable bottom electrode assembly up and down.
3. The plasma processing apparatus as claimed in claim 1, further comprising: and the second driving device is used for driving the movable lower electrode assembly to move up and down.
4. The plasma processing apparatus as claimed in claim 1, wherein the reaction chamber side wall having the substrate transfer port comprises: a first sidewall portion above the substrate transfer port and a second sidewall portion below the substrate transfer port, the first sidewall portion having a dimension greater than a dimension of the second sidewall portion along a plane parallel to the movable bottom electrode assembly; when the first driving device is used for enabling the shielding plate to seal the substrate transmission port, the top of the shielding plate is abutted against the lower side of the first side wall portion.
5. The plasma processing apparatus as claimed in claim 1, wherein an end of the substrate transfer port is provided with a step; the shielding plate comprises a first shielding part and a second shielding part extending outwards from the bottom of the first shielding part; further comprising: and the sealing devices are positioned on the surfaces of the first shielding part and the second shielding part, and are attached to the step when the shielding plate seals the substrate transmission port.
6. The plasma processing apparatus as claimed in claim 1, wherein the reaction chamber side wall having the substrate transfer port comprises: a first sidewall portion above the substrate transfer port and a second sidewall portion below the substrate transfer port, the first sidewall portion having a dimension equal to a dimension of the second sidewall portion along a plane parallel to the surface of the movable bottom electrode assembly; the first driving device enables the shielding plate to move upwards to be opposite to the substrate transmission port, and then enables the shielding plate to move towards the substrate transmission port so as to seal the substrate transmission port.
7. The plasma processing apparatus as claimed in claim 1, wherein the first driving means comprises: the plasma confinement device comprises a driving rod, a sliding plate, a pulley and a compressible part, wherein one end of the driving rod is connected with a driver, the other end of the driving rod is connected with the sliding plate, the sliding plate is provided with a sliding surface, the pulley can move along the sliding surface, the distance from the top to the bottom of the sliding surface to the side wall of the reaction cavity is gradually reduced, the other end of the pulley is connected with a shielding plate, and the top of the sliding plate is fixed with the plasma confinement device through the compressible part.
8. The plasma processing apparatus as claimed in claim 1, further comprising: the mounting substrate is arranged at the top of the reaction chamber; and the gas spray header is positioned below the mounting substrate, is opposite to the movable lower electrode assembly and is used for conveying reaction gas into the reaction cavity.
9. The plasma processing apparatus as claimed in claim 1, further comprising: and the mechanical arm device is used for taking out or placing the substrate to be processed.
10. The plasma processing apparatus as claimed in claim 1, further comprising: and the vacuum pump is used for enabling the reaction cavity to be in a vacuum environment.
CN202011355183.0A 2020-11-27 2020-11-27 Plasma processing apparatus Pending CN114566415A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202011355183.0A CN114566415A (en) 2020-11-27 2020-11-27 Plasma processing apparatus
TW110140201A TWI800061B (en) 2020-11-27 2021-10-29 Plasma treatment device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202011355183.0A CN114566415A (en) 2020-11-27 2020-11-27 Plasma processing apparatus

Publications (1)

Publication Number Publication Date
CN114566415A true CN114566415A (en) 2022-05-31

Family

ID=81711123

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011355183.0A Pending CN114566415A (en) 2020-11-27 2020-11-27 Plasma processing apparatus

Country Status (2)

Country Link
CN (1) CN114566415A (en)
TW (1) TWI800061B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109962000A (en) * 2017-12-25 2019-07-02 中微半导体设备(上海)股份有限公司 A kind of plasma processing apparatus and its method reducing pollution particle
CN110416046A (en) * 2018-04-27 2019-11-05 中微半导体设备(上海)股份有限公司 A kind of pole plate spacing is adjustable capacitively coupled plasma processing system and its method
CN110610841A (en) * 2018-06-14 2019-12-24 中微半导体设备(上海)股份有限公司 Plasma confinement assembly and processing device thereof
JP2020181840A (en) * 2019-04-23 2020-11-05 株式会社アルバック Plasma processing apparatus
CN213212104U (en) * 2020-11-27 2021-05-14 中微半导体设备(上海)股份有限公司 Plasma processing apparatus

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
TWI339404B (en) * 2006-01-27 2011-03-21 Advanced Micro Fab Equip Inc A plasma processing apparatus equipped with plasma confinement apparatus
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
CN202423213U (en) * 2011-11-23 2012-09-05 中微半导体设备(上海)有限公司 Plasma restraining device
KR102293092B1 (en) * 2013-11-12 2021-08-23 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109962000A (en) * 2017-12-25 2019-07-02 中微半导体设备(上海)股份有限公司 A kind of plasma processing apparatus and its method reducing pollution particle
CN110416046A (en) * 2018-04-27 2019-11-05 中微半导体设备(上海)股份有限公司 A kind of pole plate spacing is adjustable capacitively coupled plasma processing system and its method
CN110610841A (en) * 2018-06-14 2019-12-24 中微半导体设备(上海)股份有限公司 Plasma confinement assembly and processing device thereof
JP2020181840A (en) * 2019-04-23 2020-11-05 株式会社アルバック Plasma processing apparatus
CN213212104U (en) * 2020-11-27 2021-05-14 中微半导体设备(上海)股份有限公司 Plasma processing apparatus

Also Published As

Publication number Publication date
TW202231129A (en) 2022-08-01
TWI800061B (en) 2023-04-21

Similar Documents

Publication Publication Date Title
KR100624273B1 (en) Plasma processing apparatus
TWI407497B (en) Multi-region processing system and heads
US6429139B1 (en) Serial wafer handling mechanism
KR200491849Y1 (en) Load lock chamber with slit valve doors
US7338578B2 (en) Step edge insert ring for etch chamber
KR100573833B1 (en) Wafer processing chamber having separable upper and lower halves
US20040149214A1 (en) Vacuum processing apparatus
CN213212104U (en) Plasma processing apparatus
KR20020010681A (en) Vacuum processing apparatus
WO2019070427A1 (en) Split slit liner door
KR101892958B1 (en) Plasma processing apparatus
KR20140116811A (en) Plasma etching method and plasma etching apparatus
CN114566415A (en) Plasma processing apparatus
TW202145290A (en) Isolation ring assembly, plasma processing device and processing method capable of thoroughly cleaning the gap between the isolation ring and the electrode
CN112713075B (en) Plasma isolation ring, plasma processing device and substrate processing method
TW202230431A (en) Bottom electrode assembly, plasma processing apparatus, and method of replacing focus ring
CN114695054A (en) Configurable Faraday shield, operation method thereof and plasma processing device
JP4719337B2 (en) Etching chamber with movable shield mechanism
JP3071517B2 (en) Gate valve
JP7132060B2 (en) Deposition equipment
JP4918147B2 (en) Etching method
KR100501618B1 (en) Plasma processing apparatus and shield ring
KR100898019B1 (en) Apparatus for processing substrate
TWI790138B (en) Control method of a substrate holder of deposition equipment
KR100764983B1 (en) Apparatus for reducing particle residues in a semiconductor processing chamber

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination