CN114553146B - 一种噪声生成方法及电路 - Google Patents

一种噪声生成方法及电路 Download PDF

Info

Publication number
CN114553146B
CN114553146B CN202210191337.XA CN202210191337A CN114553146B CN 114553146 B CN114553146 B CN 114553146B CN 202210191337 A CN202210191337 A CN 202210191337A CN 114553146 B CN114553146 B CN 114553146B
Authority
CN
China
Prior art keywords
white noise
noise
fpga
adc
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202210191337.XA
Other languages
English (en)
Other versions
CN114553146A (zh
Inventor
邓姣
何峰
刘振兴
龚高茂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hunan Maxwell Electronic Technology Co Ltd
Original Assignee
Hunan Maxwell Electronic Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hunan Maxwell Electronic Technology Co Ltd filed Critical Hunan Maxwell Electronic Technology Co Ltd
Priority to CN202210191337.XA priority Critical patent/CN114553146B/zh
Publication of CN114553146A publication Critical patent/CN114553146A/zh
Application granted granted Critical
Publication of CN114553146B publication Critical patent/CN114553146B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03BGENERATION OF OSCILLATIONS, DIRECTLY OR BY FREQUENCY-CHANGING, BY CIRCUITS EMPLOYING ACTIVE ELEMENTS WHICH OPERATE IN A NON-SWITCHING MANNER; GENERATION OF NOISE BY SUCH CIRCUITS
    • H03B29/00Generation of noise currents and voltages
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Analogue/Digital Conversion (AREA)
  • Noise Elimination (AREA)

Abstract

本发明提供了一种噪声生成方法及电路,其包括:ADC、FPGA、DAC;其特征在于:控制所述ADC不进行数据采集或所述ADC不接收输入信号,所述ADC输出第一白噪声;所述FPGA对接收到的第一白噪声信号进行随机噪声合成,生成第二合成白噪声;将所述第二合成白噪声输出到DAC,以使所述DAC根据所述第二合成白噪声输出白噪声。本发明的噪声生成电路是基于ADC+FPGA+DAC的方式产生随机噪声的方法,该方法具有电路简单,实现成本低特点,产生的噪声具有非常强的随机性与现实性,同时由于宽频ADC的特性,噪声的生成也是在一个非常宽的频率带宽上的。

Description

一种噪声生成方法及电路
技术领域
本发明涉及集成电路领域,具体来说,涉及一种噪声生成方法及电路。
背景技术
抗干扰测试已经成为雷达、通信等领域的必要测试项目,在进行抗干扰测试时需要一个稳定和精确的噪声信号。其中带限白噪声信号时间相关性小,目前应用最为广泛。现有的硬件高斯白噪声发生器通常分为物理噪声发生器和数字噪声发生器两类,数字噪声发生器虽然没有物理噪声发生器的精度高,但是实现电路较为简单,易于应用。FPGA技术的发展,提高了硬件噪声发生器的速度和性能,相比基于软件实现的噪声发生器,展现出更大的优势。
本文提供的背景描述用于总体上呈现本公开的上下文的目的。除非本文另外指示,在该章节中描述的资料不是该申请的权利要求的现有技术并且不要通过包括在该章节内来承认其成为现有技术。
发明内容
针对相关技术中的上述技术问题,本发明提出一种噪声生成电路,所述噪声生成电路,其包括:模拟信号转数字信号转换器ADC、现场可编程逻辑阵列FPGA、数字信号转模拟信号转换器DAC;
其特征在于:控制所述ADC不进行数据采集或所述ADC不接收输入信号,所述ADC输出第一白噪声;
所述FPGA对接收到的第一白噪声信号进行随机噪声合成,生成第二合成白噪声;
将所述第二合成白噪声输出到DAC,以使所述DAC根据所述第二合成白噪声输出白噪声。
具体的,所述FPGA使用数据位循环位移法或/和高低位倒置进行随机噪声的合成以生成第二合成白噪声。
具体的,数据位循环位移法是在FPGA采集信号后,根据不同的ADC的位宽n设置循环的次数,前后数据依次进行1-n次数据的位移,高位移出的数据又从低位进入;第一次移1bit,第二次移2bits,第n次移nbits,当位移n次后,又从移1位开始。
具体的,数高低位倒置法是在FPGA采集信号后,将数据高低位互相置换,比如nbits的数据,将第1位和第n位互相换,将第2位和第n-1位互相换,依次进行,直到数据位全部替换完成。
具体的,所述FPGA将所述第一白噪声信号存入到FPGA的FIFO寄存器中。
第二方面,本发明的另一个实施例公开了一种噪声生成方法,其包括如下步骤:
S1,配置ADC以使得所述ADC不进行数据采集或所述ADC不接收输入信号,从而使所述ADC输出第一白噪声;
S2,FPGA接收所述第一白噪声,所述FPGA对所述第一白噪声进行随机化处理以获得第二合成白噪声;
S3,将所述第二合成白噪声输出到DAC,以使所述DAC根据所述第二合成白噪声输出白噪声。
具体的,所述FPGA使用数据位循环位移法或/和高低位倒置进行随机噪声的合成以生成第二合成白噪声。
具体的,数据位循环位移法是在FPGA采集信号后,根据不同的ADC的位宽n设置循环的次数,前后数据依次进行1-n次数据的位移,高位移出的数据又从低位进入;第一次移1bit,第二次移2bits,第n次移n bits,当位移n次后,又从移1位开始。
具体的,数高低位倒置法是在FPGA采集信号后,将数据高低位互相置换,比如nbits的数据,将第1位和第n位互相换,将第2位和第n-1位互相换,依次进行,直到数据位全部替换完成。
具体的,所述FPGA将所述第一白噪声信号存入到FPGA的FIFO寄存器中。
本发明在不给ADC输入任何信号或者在控制上使ADC不进行数据采集的情况下,ADC的数据输出端口则是纯粹的电路白噪声。将该噪声信号输入给FPGA,先用FIFO将数据进行缓存,然后经过FPGA的处理,处理后再存到FIFO中,然后再将信号发送给DAC,这样就可以产生模拟噪声信号,并作为测试当中的噪声源使用。
相对于现有的通过随机数字发生器可以生成白噪声,但实验表明常常要花较长的时间去合成噪声,而且要生成理想的白噪声很难,其它噪声的合成也与此类似。本实施例噪声生成电路具有电路简单,实现成本低特点,产生的噪声具有非常强的随机性与现实性,同时由于宽频ADC的特性,噪声的生成也是在一个非常宽的频率带宽上的。具有很强的实用性,同时也兼具很难被处理的特点。
附图说明
为了更清楚地说明本发明实施例或现有技术中的技术方案,下面将对实施例中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1是本发明实施例提供的一种噪声生成电路;
图2是本发明实施例提供的数据位循环位移法示意图;
图3是本发明实施例提供的高低位倒置法示意图;
图4是本发明实施例提供的一种噪声生成方法流程图。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员所获得的所有其他实施例,都属于本发明保护的范围。
实施例一
白噪声序列,是指白噪声过程的样本实称,简称白噪声。白噪声是在较宽的频率范围内,各等带宽的频带所含的噪声能量相等的噪声,是一种功率频谱密度为常数的随机信号或随机过程,也就是说,此信号在各个频段上的功率是一样的。
对于一个随机变量X(t)(t=1,2,3……),如果是由一个不相关的随机变量的序列构成的,即对于所有s不等于t,随机变量X(t)和X(s)的协方差为零,则称其为纯随机过程。对于一个纯随机过程来说,若其期望为0,方差为常数,则称之为白噪声过程。
理想的白噪声具有无限的带宽,因而其能量无限大,这是不可能实际存在的,所以,我们把有限带宽内的平整讯号视为白噪声,以便我们实际应用当中的分析。一般情况下,若一个噪声过程所具有的频谱宽度远远大于它所作用系统的带宽,并且在该带宽中其功率谱密度基本为一常数,那么就能够把其作为白噪声来对待。
白噪声的功率密度函数恒定,为:
其中n0为常数。
高斯噪声指的是它的概率密度函数服从正态分布的噪声。高斯分布,记为N(μ,σ2),其中μ为高斯分布的均值(数学期望),σ2为高斯分布的方差,当μ=0,σ2=1时,该分布称为标准正态分布。高斯分布的一维概率密度可表示为式:
在通信信道中,一般噪声的均值μ=0。,那么可以得知当噪声的均值是零的时候,噪声的平均功率等于其方差。
高斯白噪声的高斯指的是概率分布为正态分布,白噪声指的是其二阶矩不相关一阶矩为常数。故把均匀分布的噪声称为高斯白噪声。这两个条件是判断高斯白噪声性能的标准。
由于高斯白噪声能够反映实际通信信道中的噪声情况,能够比较真实的反映信道噪声的一些特性,并且可以用具体的数学表达式表示,适合分析、计算系统的抗噪声性能,所以广泛应用于通信系统的理论分析。
参考图1,图1是本实施例提供的一种噪声生成电路,其包括ADC、FPGA、DAC;
控制所述ADC不进行数据采集或所述ADC不接收输入信号,所述ADC输出第一白噪声;
具体的,在ADC不工作或者是没有任何输入信号时,ADC的数据输出端口会输出电路白噪声,其中所述电路白噪声是以数字信号形式进行表示。
具体的,本实施例对ADC配置可以是由FPGA进行,也可以是由外围电路进行,本实施例不做具体限制。
本实施例以ADC由FPGA进行配置进行说明,在根据实施例一的噪声生成电路上电后,所述FPGA启动,并输出使能信号给ADC使得ADC的使能端口输入无效信号,从而使得ADC不采集信号。
本领域技术人员知晓,ADC是用于将输入的模拟信号转换为数字信号。ADC在将输入的模拟信号转换为数字信号时,需要接收使能信号以及输入的模拟信号,然后输出数字信号。本实施例的ADC不进行数据采集(即ADC的使能信号无效)或者是不接收输入信号,从而使得ADC输出电路白噪声。
所述FPGA对接收到的第一白噪声信号进行随机噪声合成,生成第二合成白噪声;
具体的,FPGA将所述第一白噪声信号存入到FPGA的FIFO寄存器中。所述FPGA使用数据位循环位移法或/和高低位倒置进行随机噪声的合成以生成第二合成白噪声。本实施例使用数据位循环位移法或/和高低位倒置进行随机噪声的合成以生成第二合成白噪声使原本无规律的第一白噪声进一步的增加了随机性。
进一步的,本实施例的第二合成白噪声也可以存入FPGA的FIFO寄存器中。
参考图2,数据位循环位移法是在FPGA采集信号后,根据不同的ADC的位宽n设置循环的次数,前后数据依次进行1-n次数据的位移,高位移出的数据又从低位进入;第一次移1bit,第二次移2bits,第n次移n bits,当位移n次后,又从移1位开始。如此循环进行数据位移,其过程如图2所示。
参考图3,数高低位倒置法是在FPGA采集信号后,将数据高低位互相置换,比如nbits的数据,将第1位和第n位互相换,将第2位和第n-1位互相换,依次进行,直到数据位全部替换完成,其效果如图3所示。
将所述第二合成白噪声输出到DAC,以使所述DAC根据所述第二合成白噪声输出白噪声。
本实施例的第二合成白噪声依然是以数字信号,本实施例使用DAC将数字信号转换为模拟信号,从而获得白噪声信号。
本实施例在不给ADC输入任何信号或者在控制上使ADC不进行数据采集的情况下,ADC的数据输出端口则是纯粹的电路白噪声。将该噪声信号输入给FPGA,先用FIFO将数据进行缓存,然后经过FPGA的处理,处理后再存到FIFO中,然后再将信号发送给DAC,这样就可以产生模拟噪声信号,并作为测试当中的噪声源使用。
相对于现有的通过随机数字发生器可以生成白噪声,但实验表明常常要花较长的时间去合成噪声,而且要生成理想的白噪声很难,其它噪声的合成也与此类似。本实施例噪声生成电路具有电路简单,实现成本低特点,产生的噪声具有非常强的随机性与现实性,同时由于宽频ADC的特性,噪声的生成也是在一个非常宽的频率带宽上的。具有很强的实用性,同时也兼具很难被处理的特点。
实施例二
参考图4,图4是本实施例提供的一种噪声生成方法,其包括如下步骤:
S1,配置ADC以使得所述ADC不进行数据采集或所述ADC不接收输入信号,从而使所述ADC输出第一白噪声;
具体的,本实施例对ADC配置可以是由FPGA进行,也可以是由外围电路进行,本实施例不做具体限制。
本实施例以ADC由FPGA进行配置进行说明,在根据实施例一的噪声生成电路上电后,所述FPGA启动,并输出使能信号给ADC使得ADC的使能端口输入无效信号,从而使得ADC不采集信号。
在ADC不工作或者是没有任何输入信号时,ADC的数据输出端口会输出电路白噪声,其中所述电路白噪声是以数字信号形式进行表示。
S2,FPGA接收所述第一白噪声,所述FPGA对所述第一白噪声进行随机化处理以获得第二合成白噪声;
具体的,FPGA将所述第一白噪声信号存入到FPGA的FIFO寄存器中。所述FPGA使用数据位循环位移法或/和高低位倒置进行随机噪声的合成以生成第二合成白噪声。本实施例使用数据位循环位移法或/和高低位倒置进行随机噪声的合成以生成第二合成白噪声使原本无规律的第一白噪声进一步的增加了随机性。
进一步的,本实施例的第二合成白噪声也可以存入FPGA的FIFO寄存器中。
参考图2,数据位循环位移法是在FPGA采集信号后,因为ADC的位宽为14,故设置循环移位的最大次数为13,前后数据依次进行1次数据的位移,高位移出的数据又从低位进入。第一次移1bit,第二次移2bits,第13次移13bits,当位移13次后,当移位次数达到13时又重新从移1位开始递增(该处移动位宽为第n次移位数据与原始数据对比)。如此循环进行数据位移,其过程如图2所示。
参考图3,数高低位倒置法是在FPGA采集信号后,将数据高低位互相置换,比如14bits的数据,将第1位和第14位互相换,将第2位和第13位互相换,依次进行,直到数据位全部替换完成,其效果如图3所示。
S3,将所述第二合成白噪声输出到DAC,以使所述DAC根据所述第二合成白噪声输出白噪声。
本实施例的第二合成白噪声依然是以数字信号,本实施例使用DAC将数字信号转换为模拟信号,从而获得白噪声信号。
本实施例在不给ADC输入任何信号或者在控制上使ADC不进行数据采集的情况下,ADC的数据输出端口则是纯粹的电路白噪声。将该噪声信号输入给FPGA,先用FIFO将数据进行缓存,然后经过FPGA的处理,处理后再存到FIFO中,然后再将信号发送给DAC,这样就可以产生模拟噪声信号,并作为测试当中的噪声源使用。
相对于现有的通过随机数字发生器可以生成白噪声,但实验表明常常要花较长的时间去合成噪声,而且要生成理想的白噪声很难,其它噪声的合成也与此类似。本实施例噪声生成方法具有电路简单,实现成本低特点,产生的噪声具有非常强的随机性与现实性,同时由于宽频ADC的特性,噪声的生成也是在一个非常宽的频率带宽上的。具有很强的实用性,同时也兼具很难被处理的特点。
以上所述仅为本发明的较佳实施例而已,并不用以限制本发明,凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (8)

1.一种噪声生成电路,其包括:ADC、FPGA、DAC;
其特征在于:控制所述ADC不进行数据采集或所述ADC不接收输入信号,所述ADC输出第一白噪声;
所述FPGA对接收到的第一白噪声信号进行随机噪声合成,生成第二合成白噪声;所述FPGA使用数据位循环位移法或/和高低位倒置进行随机噪声的合成以生成第二合成白噪声;
将所述第二合成白噪声输出到DAC,以使所述DAC根据所述第二合成白噪声输出白噪声。
2.根据权利要求1所述的电路,其特征在于:数据位循环位移法是在FPGA采集信号后,根据ADC的位宽n设置循环的次数,前后数据依次进行1-n次数据的位移,高位移出的数据又从低位进入;第一次移1bit,第二次移2bits,第n次移nbits,当位移n次后,从移1位开始。
3.根据权利要求1所述的电路,其特征在于:高低位倒置法是在FPGA采集信号后,将数据高低位互相置换。
4.根据权利要求1-3任一项所述的电路,其特征在于:所述FPGA将所述第一白噪声信号存入到FPGA的FIFO寄存器中。
5.一种噪声生成方法,其包括如下步骤:
S1,配置ADC以使得所述ADC不进行数据采集或所述ADC不接收输入信号,从而使所述ADC输出第一白噪声;
S2,FPGA接收所述第一白噪声,所述FPGA对所述第一白噪声进行随机化处理以获得第二合成白噪声;所述FPGA使用数据位循环位移法或/和高低位倒置进行随机噪声的合成以生成第二合成白噪声;
S3,将所述第二合成白噪声输出到DAC,以使所述DAC根据所述第二合成白噪声输出白噪声。
6.根据权利要求5所述的方法,其特征在于:数据位循环位移法是在FPGA采集信号后,根据ADC的位宽n设置循环的次数,前后数据依次进行1-n次数据的位移,高位移出的数据又从低位进入;第一次移1bit,第二次移2bits,第n次移nbits,当位移n次后,从移1位开始。
7.根据权利要求5所述的方法,其特征在于:高低位倒置法是在FPGA采集信号后,将数据高低位互相置换。
8.根据权利要求5-7任一项所述的方法,其特征在于:所述FPGA将所述第一白噪声信号存入到FPGA的FIFO寄存器中。
CN202210191337.XA 2022-02-28 2022-02-28 一种噪声生成方法及电路 Active CN114553146B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210191337.XA CN114553146B (zh) 2022-02-28 2022-02-28 一种噪声生成方法及电路

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202210191337.XA CN114553146B (zh) 2022-02-28 2022-02-28 一种噪声生成方法及电路

Publications (2)

Publication Number Publication Date
CN114553146A CN114553146A (zh) 2022-05-27
CN114553146B true CN114553146B (zh) 2023-07-28

Family

ID=81661543

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210191337.XA Active CN114553146B (zh) 2022-02-28 2022-02-28 一种噪声生成方法及电路

Country Status (1)

Country Link
CN (1) CN114553146B (zh)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101888209A (zh) * 2010-07-23 2010-11-17 上海交通大学 基于fpga的高斯白噪声发生器

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101807880A (zh) * 2009-12-17 2010-08-18 北京交通大学 一种高斯白噪声发生器及实现方法
CN102468806B (zh) * 2010-11-03 2016-09-07 北京普源精电科技有限公司 一种白噪声信号发生器

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101888209A (zh) * 2010-07-23 2010-11-17 上海交通大学 基于fpga的高斯白噪声发生器

Also Published As

Publication number Publication date
CN114553146A (zh) 2022-05-27

Similar Documents

Publication Publication Date Title
Trakimas et al. A compressed sensing analog-to-information converter with edge-triggered SAR ADC core
GB2419754A (en) Analogue to digital converter utilsing analogue dither
CA2459425A1 (en) Hybrid digital/analog processing circuit
Michaels A maximal entropy digital chaotic circuit
Roy et al. Low-complexity architecture of orthogonal matching pursuit based on QR decomposition
Grajal et al. Analysis and characterization of a monobit receiver for electronic warfare
CN114553146B (zh) 一种噪声生成方法及电路
CN108155911B (zh) 基于fpga的非均匀超宽带稀疏信号采样方法
Zhang et al. FPGA implementation of Toeplitz hashing extractor for real time post-processing of raw random numbers
Michaels et al. Quantization effects in digital chaotic communication systems
CN116663670A (zh) 量子测控系统、量子计算机、系统及网络和通信方法
Liu et al. Method of high timing resolution pulse synthesis based on virtual sampling
Pareschi et al. Simple and effective post-processing stage for random stream generated by a chaos-based RNG
WO2005041417A2 (en) Digital input signals constructor providing analog representation thereof
CN113377335A (zh) 一种伪随机数发生器、伪随机数发生方法、处理器芯片
Buch et al. Variable correlation digital noise source on fpga—a versatile tool for debugging radio telescope backends
JPH10197610A (ja) ノイズ発生装置およびそれを用いた波形生成装置
CN113776653A (zh) 振动信号的采样重构系统及方法
Napoli et al. A complete system to generate electrical noise with arbitrary power spectral density
Tonn et al. Real-Time Processing and Delta-Sigma Modulation on FPGA for Switching Mode RF Amplifiers
Alsakkal et al. Spiketrum: An FPGA-based Implementation of a Neuromorphic Cochlea
RU2767180C1 (ru) Устройство имитации канала спутниковой связи с выпускным буксируемым антенным устройством в дмв диапазоне
Chen et al. A Low Complexity and Long Period Digital Random Sequence Generator Based on Residue Number System and Permutation Polynomial
Guo et al. Parallel and real-time post-processing for quantum random number generators
Wang et al. A wideband real-time spectrometer based on combined complex FFT for radio astronomy

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant