CN114510432A - 一种寄存器调试平台和调试方法 - Google Patents

一种寄存器调试平台和调试方法 Download PDF

Info

Publication number
CN114510432A
CN114510432A CN202210414672.1A CN202210414672A CN114510432A CN 114510432 A CN114510432 A CN 114510432A CN 202210414672 A CN202210414672 A CN 202210414672A CN 114510432 A CN114510432 A CN 114510432A
Authority
CN
China
Prior art keywords
register
module
decoder
debugging
bus interface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202210414672.1A
Other languages
English (en)
Other versions
CN114510432B (zh
Inventor
邵海波
贾晓龙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Suzhou Inspur Intelligent Technology Co Ltd
Original Assignee
Suzhou Inspur Intelligent Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Suzhou Inspur Intelligent Technology Co Ltd filed Critical Suzhou Inspur Intelligent Technology Co Ltd
Priority to CN202210414672.1A priority Critical patent/CN114510432B/zh
Publication of CN114510432A publication Critical patent/CN114510432A/zh
Application granted granted Critical
Publication of CN114510432B publication Critical patent/CN114510432B/zh
Priority to PCT/CN2022/142900 priority patent/WO2023202148A1/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/36Preventing errors by testing or debugging software
    • G06F11/362Software debugging
    • G06F11/3648Software debugging using additional hardware
    • G06F11/3656Software debugging using additional hardware using a specific debug interface
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/36Preventing errors by testing or debugging software
    • G06F11/362Software debugging
    • G06F11/3648Software debugging using additional hardware
    • G06F11/3652Software debugging using additional hardware in-circuit-emulation [ICE] arrangements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • Debugging And Monitoring (AREA)

Abstract

本发明提供了一种寄存器调试平台和调试方法,寄存器调试平台包括:模块总线接口,模块总线接口连接到需要调试的模块的总线接口上并配置为获取CPU发出的访问需要调试的模块的总线访问信息;寄存器模型,寄存器模型包括译码器和寄存器组,译码器连接到模块总线接口并配置为根据总线访问信息获取寄存器地址,寄存器组连接到译码器并配置为根据需要调试的模块的寄存器文件生成与需要调试的模块的寄存器一一对应的寄存器;调试模块,调试模块连接到译码器并配置为获取寄存器组中每个寄存器的信息并根据寄存器地址显示寄存器的信息。通过使用本发明的方案,能够方便的集成到任何仿真环境中,实现对硬件寄存器的实时监测显示,能够及时改变寄存器的值。

Description

一种寄存器调试平台和调试方法
技术领域
本发明涉及计算机领域,并且更具体地涉及一种寄存器调试平台和调试方法。
背景技术
一个SoC(片上系统)芯片通常包含了硬件和软件两个部分,它们互相协同,做为一个系统来实现用户需要的功能。传统的芯片开发,先要设计好硬件电路,设计经过验证后才会去流片,而软件的开发测试是在芯片回片后才能在芯片上进行。一旦在软件测试的时候发现一个严重的硬件问题,后果必然是灾难性的,因为芯片的硬件部分已经不可更改了。所以,一款芯片设计通常需要很长时间,经过充分验证才能流片,这也是为什么芯片设计耗资巨大的一个原因。如何让软件开发和测试工作在芯片流片前进行,就成了解决问题的关键。目前业界通常使用的方法有两个,一个是在硬件加速设备上提前测试部分软件,比如硬件加速器或FPGA。另一个就是通过仿真来测试,仿真的方法虽然具有速度慢,搭建测试环境耗费时间长等特点,但因其所有内部信号可见,常常在芯片设计开始阶段用来保证设计的基本功能。相比仿真的方法,硬件加速具有速度快、价格昂贵的特点,但它另外一个突出的问题就是调试能力无法像RTL仿真那样对用户透明,所有测试工作只能在芯片开发的晚期,整个芯片的验证达到一定的质量后才能开始。
发明内容
有鉴于此,本发明实施例的目的在于提出一种寄存器调试平台和调试方法,通过使用本发明的技术方案,能够方便的集成到任何仿真环境中,实现对硬件寄存器的实时监测显示,能够及时改变寄存器的值。
基于上述目的,本发明的实施例的一个方面提供了一种寄存器调试平台,包括:
模块总线接口,模块总线接口连接到需要调试的模块的总线接口上并配置为获取CPU发出的访问需要调试的模块的总线访问信息;
寄存器模型,寄存器模型包括译码器和寄存器组,译码器连接到模块总线接口并配置为根据总线访问信息获取寄存器地址,寄存器组连接到译码器并配置为根据需要调试的模块的寄存器文件生成与需要调试的模块的寄存器一一对应的寄存器;
调试模块,调试模块连接到译码器并配置为获取寄存器组中每个寄存器的信息并根据寄存器地址显示寄存器的信息。
根据本发明的一个实施例,还包括:
编写总线接口,编写总线接口通过总线互联连接到需要调试的模块的总线接口上,编写总线接口还连接到译码器。
根据本发明的一个实施例,还包括:
编辑模块,编辑模块连接到译码器并配置为根据需求修改寄存器中的信息,并将修改的寄存器的信息发送到编写总线接口。
根据本发明的一个实施例,还包括:
读写队列,读写队列设置在模块总线接口和译码器之间并配置为将模块总线接口获取到的总线访问信息按照顺序进行存储并依次发送到译码器。
根据本发明的一个实施例,还包括:
第一读写队列,第一读写队列设置在调试模块和译码器之间并配置为将译码器获取到的寄存器地址按照顺序进行存储并依次发送到调试模块。
本发明的实施例的另一个方面,还提供了一种寄存器调试的方法,包括以下步骤:
将模块总线接口连接到需要调试的模块的总线接口上,并通过模块总线接口获取CPU发出的访问需要调试的模块的总线访问信息;
将获取的总线访问信息发送到译码器,通过译码器获取需要访问的寄存器地址;
根据需要调试的模块的寄存器文件生成与需要调试的模块的寄存器一一对应的寄存器;
将调试模块连接到译码器,并获取每个寄存器的信息并根据需要访问的寄存器地址显示寄存器的信息。
根据本发明的一个实施例,还包括:
将模块总线接口获取的CPU发出的访问需要调试的模块的总线访问信息按顺序存储到读写队列中;
将读写队列中存储的总线访问信息按顺序发送到译码器中以获取需要访问的寄存器地址。
根据本发明的一个实施例,还包括:
将获取到的需要访问的寄存器地址按顺序存储到第一读写队列中;
将第一读写队列中存储的寄存器地址依次发送到调试模块。
根据本发明的一个实施例,还包括:
在编辑模块中以代码的方式输入需要修改的寄存器的信息。
根据本发明的一个实施例,还包括:
将需要修改的寄存器的信息发送到编写总线接口中;
编写总线接口通过总线互联连接到需要调试的模块并根据需要修改的寄存器的信息对需要调试的模块寄存器进行修改。
本发明具有以下有益技术效果:本发明实施例提供的寄存器调试平台,通过设置模块总线接口,模块总线接口连接到需要调试的模块的总线接口上并配置为获取CPU发出的访问需要调试的模块的总线访问信息;寄存器模型,寄存器模型包括译码器和寄存器组,译码器连接到模块总线接口并配置为根据总线访问信息获取寄存器地址,寄存器组连接到译码器并配置为根据需要调试的模块的寄存器文件生成与需要调试的模块的寄存器一一对应的寄存器;调试模块,调试模块连接到译码器并配置为获取寄存器组中每个寄存器的信息并根据寄存器地址显示寄存器的信息的技术方案,能够方便的集成到任何仿真环境中,实现对硬件寄存器的实时监测显示,能够及时改变寄存器的值。
附图说明
为了更清楚地说明本发明实施例或现有技术中的技术方案,下面将对实施例或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的实施例。
图1为根据本发明一个实施例的寄存器调试平台的示意图;
图2为根据本发明一个实施例的寄存器调试方法的示意图。
具体实施方式
以下描述了本公开的实施例。然而,应该理解,所公开的实施例仅仅是示例,并且其他实施例可以采取各种替代形式。附图不一定按比例绘制;某些功能可能被夸大或最小化以显示特定部件的细节。因此,本文公开的具体结构和功能细节不应被解释为限制性的,而仅仅是作为用于教导本领域技术人员以各种方式使用本发明的代表性基础。如本领域普通技术人员将理解的,参考任何一个附图所示出和描述的各种特征可以与一个或多个其他附图中所示的特征组合以产生没有明确示出或描述的实施例。所示特征的组合为典型应用提供了代表性实施例。然而,与本公开的教导相一致的特征的各种组合和修改对于某些特定应用或实施方式可能是期望的。
基于上述目的,本发明的实施例的第一个方面,提出了一种寄存器调试平台的一个实施例。图1示出的是该寄存器调试平台的示意图。
如图1中所示,该寄存器调试平台可以包括:
模块总线接口,模块总线接口连接到需要调试的模块的总线接口上并配置为获取CPU发出的访问需要调试的模块的总线访问信息。模块总线接口完成了不同总线读写操作到统一的硬件模块寄存器读写的转换,通过该模块,可以隔离总线和寄存器,使得本发明中的模型能够兼容多种总线接口,模块总线接口直接绑定到需要调试的模块的总线接口上,监测处理器等对模块的寄存器读写操作。以模块UART的AHB总线为例,代码如下:RegAhbSlaveConnector #(0) slave_bind_connector_uart(m_ahb_if.slave_if[0], `UART_HIER_PATH.reg_ahb_bind_if)。
还包括寄存器模型,寄存器模型包括译码器和寄存器组,译码器连接到模块总线接口并配置为根据总线访问信息获取寄存器地址,寄存器组连接到译码器并配置为根据需要调试的模块的寄存器文件生成与需要调试的模块的寄存器一一对应的寄存器。寄存器模型是一个SystemC的模块,由多个寄存器模块,读写队列,译码器构成。寄存器模块实现了每一个寄存器的功能,包含寄存器的寄存器域,每个域的读写特性、复位值等信息。每一个寄存器模块也是一个SystemC的模块,它通过寄存器接口和整个寄存器模型的接口连起来构成结构化的通道,来达到和外部通信的目的。寄存器模块的部分示例代码如下:
// Register = command
// Reset = 0x00
Class COMMAND : public RegBase {
// Field resv3 = command[31:29]
reg_fld(resv3,29,3,R,0,cov);
// Field transfer_size = command[28:19]
reg_fld(transfer_size,19,10,RW,0,cov);
// Field dma_transfer_target = command[18:14]
reg_fld(dma_transfer_target,14,5,RW,0,cov);
// Field resv2 = command[13:10]
reg_fld(resv2,10,4,R,0,cov);
// Field transmit_receive = command[9:9]
reg_fld(transmit_receive,9,1,RW,0,cov);
// Field resv1 = command[8:5]
reg_fld(resv1,5,4,R,0,cov),
// Field dest_address_enable = command[4:4]
reg_fld(dest_address_enable,4,1,RW,0,cov);
// Field source_address_enable = command[3:3]
reg_fld(source_address_enable,3,1,RW,0,cov);
// Field word_size = command[2:0]
reg_fld(word_size,0,3,R,0,cov);
}。
基于SystemC的寄存器接口,由SystemC的标准接口派生而来。该寄存器接口实现了一个通用接口用来对寄存器进行读写访问。当同时存在对同一寄存器读写的情况,寄存器读写通过SystemC的互斥通道来保证数据的正确性,示例代码如下:
template <class T>
class RegReadInf:public sc_interface {
public:
virtual transfer_status read(unsigned int address, T &data)=0;
};
template <class T>
class RegWriteInf:public sc_interface {
public:
virtual transfer_status write(unsigned int address, T &data)=0;
};
class RegResetInf:public sc_interface {
public:
virtual bool reset()=0;
};
template<class T>
class RegInf : public RegReadInf<T>, RegWriteInf<T>, RegResetInf {
public:
virtual unsigned int get_reset_value()=0;
}。
译码器负责根据总线访问地址选择正确的寄存器模块,即选择正确的寄存器地址,同时通过上述的寄存器接口对寄存器做读写访问。相关实现代码示例如下所示:
class decoder {
public:
virtual RegBase* GetRegByAddress(const address) const=0;
virtual RegBase* GetRegByName(string name) const=0;
}。
还包括调试模块,调试模块连接到译码器并配置为获取寄存器组中每个寄存器的信息并根据寄存器地址显示寄存器的信息。调试模块负责收集寄存器的信息并按照寄存器预定义格式记录到文件中,可以实时查看寄存器内容,寄存器文件格式内容如下:
Reg COMMAND (offset=0)(value=32’h50217d ){
// Field resv3 = command[31:29]
3’h0;
// Field transfer_size = command[28:19]
10’h5;
// Field dma_transfer_target = command[18:14]
reg_fld(dma_transfer_target,14,5,RW,0,cov);
5’h0;
reg_fld(resv2,10,4,R,0,cov);
// Field transmit_receive = command[9:9]
1’h1;
// Field resv1 = command[8:5]
4’h3;
// Field dest_address_enable = command[4:4]
1’h1;
// Field source_address_enable = command[3:3]
1’h1;
// Field word_size = command[2:0]
3’h3;
}。
本发明的寄存器调试平台可以在仿真环境中搭建,能够方便的集成到任何仿真环境中,实现对硬件寄存器的实时监测显示,能够及时改变寄存器的值。
在本发明的一个优选实施例中,还包括:
编写总线接口,编写总线接口通过总线互联连接到需要调试的模块的总线接口上,编写总线接口还连接到译码器。编写总线接口是一组特别的接口,它接收从寄存器模型传来的对寄存器的读写操作,转换成相应的总线操作,通过总线互联完成芯片中硬件模块寄存器的读写。以AHB总线的硬件调试接口DAP为例,部分示例代码如下:
RegAhbMasterConnector# (1) master_bind_connector_dap(m_ahb_if.master_if[0], `DAP_HIER_PATH.reg_ahb_bind_if);
在本发明的一个优选实施例中,还包括:
编辑模块,编辑模块连接到译码器并配置为根据需求修改寄存器中的信息,并将修改的寄存器的信息发送到编写总线接口。编辑模块负责读取寄存器编程信息,并转换成相关操作,完成对寄存器的读写。支持简单的描述语言,示例如下:
read(0x0);
poll(COMMAND,1);
write(COMMAND, 0);
wait(3);
在本发明的一个优选实施例中,还包括:
读写队列,读写队列设置在模块总线接口和译码器之间并配置为将模块总线接口获取到的总线访问信息按照顺序进行存储并依次发送到译码器。根据配置信息,可以选择生成的寄存器模型有几组独立的读写通道。每一个读写通道通过专门的读写队列访问寄存器。一个硬件模块通常有两组读写通道,一组从总线访问寄存器,另外一组是功能模块用来访问寄存器。寄存器队列的作用是用来暂存寄存器访问,基于sc_fifo实现的寄存器队列代码示例如下所示:
class RwFifo : public sc_channel, public RegInf {
private:
sv_event write_ev, read_ev;
int number_of_elements;
public:
RwFifo(sc_module_name name):sc_channel(name), number_of_elements(0){}
void read_fifo()
{
}
void write_fifo()
{
}
}。
在本发明的一个优选实施例中,还包括:
第一读写队列,第一读写队列设置在调试模块和译码器之间并配置为将译码器获取到的寄存器地址按照顺序进行存储并依次发送到调试模块。
本发明技术方案简单易用,可以方便的集成到任何仿真环境中,实现了对硬件寄存器的实时监测显示的同时,还能够及时改变寄存器的值,实现不同的测试目的。传统的仿真如果需要改写测试用例中的某些寄存器的值,如果测试用例是用verilog、systemverilog等硬件描述语言编写而成,必须重新编译设计并运行仿真,非常的繁琐。如果测试用例是用C等高级语言编写而成,仍然要重新编译测试用例并转成可执行码,然后重新运行仿真。本发明能够实时显示的模块寄存器值,大大提高了验证调试效率。
基于上述目的,本发明的实施例的第二个方面,提出了一种寄存器调试的方法,如图2所示,包括以下步骤:
S1将模块总线接口连接到需要调试的模块的总线接口上,并通过模块总线接口获取CPU发出的访问需要调试的模块的总线访问信息;
S2将获取的总线访问信息发送到译码器,通过译码器获取需要访问的寄存器地址;
S3根据需要调试的模块的寄存器文件生成与需要调试的模块的寄存器一一对应的寄存器;
S4将调试模块连接到译码器,并获取每个寄存器的信息并根据需要访问的寄存器地址显示寄存器的信息。
在本发明的一个优选实施例中,还包括:
将模块总线接口获取的CPU发出的访问需要调试的模块的总线访问信息按顺序存储到读写队列中;
将读写队列中存储的总线访问信息按顺序发送到译码器中以获取需要访问的寄存器地址。
在本发明的一个优选实施例中,还包括:
将获取到的需要访问的寄存器地址按顺序存储到第一读写队列中;
将第一读写队列中存储的寄存器地址依次发送到调试模块。
在本发明的一个优选实施例中,还包括:
在编辑模块中以代码的方式输入需要修改的寄存器的信息。
在本发明的一个优选实施例中,还包括:
将需要修改的寄存器的信息发送到编写总线接口中;
编写总线接口通过总线互联连接到需要调试的模块并根据需要修改的寄存器的信息对需要调试的模块寄存器进行修改。
尽管已经示出和描述了本发明的实施例,对于本领域的普通技术人员而言,可以理解在不脱离本发明的原理和精神的情况下可以对这些实施例进行多种变化、修改、替换和变型,本发明的范围由所附权利要求及其等同物限定。
上述实施例,特别是任何“优选”实施例是实现的可能示例,并且仅为了清楚地理解本发明的原理而提出。可以在不脱离本文所描述的技术的精神和原理的情况下对上述实施例进行许多变化和修改。所有修改旨在被包括在本公开的范围内并且由所附权利要求保护。

Claims (10)

1.一种寄存器调试平台,其特征在于,包括:
模块总线接口,所述模块总线接口连接到需要调试的模块的总线接口上并配置为获取CPU发出的访问需要调试的模块的总线访问信息;
寄存器模型,所述寄存器模型包括译码器和寄存器组,所述译码器连接到模块总线接口并配置为根据总线访问信息获取寄存器地址,所述寄存器组连接到译码器并配置为根据需要调试的模块的寄存器文件生成与需要调试的模块的寄存器一一对应的寄存器;
调试模块,所述调试模块连接到译码器并配置为获取寄存器组中每个寄存器的信息并根据寄存器地址显示寄存器的信息。
2.根据权利要求1所述的寄存器调试平台,其特征在于,还包括:
编写总线接口,所述编写总线接口通过总线互联连接到需要调试的模块的总线接口上,所述编写总线接口还连接到译码器。
3.根据权利要求2所述的寄存器调试平台,其特征在于,还包括:
编辑模块,所述编辑模块连接到译码器并配置为根据需求修改寄存器中的信息,并将修改的寄存器的信息发送到编写总线接口。
4.根据权利要求1所述的寄存器调试平台,其特征在于,还包括:
读写队列,读写队列设置在模块总线接口和译码器之间并配置为将模块总线接口获取到的总线访问信息按照顺序进行存储并依次发送到译码器。
5.根据权利要求1所述的寄存器调试平台,其特征在于,还包括:
第一读写队列,第一读写队列设置在调试模块和译码器之间并配置为将译码器获取到的寄存器地址按照顺序进行存储并依次发送到调试模块。
6.一种寄存器调试的方法,其特征在于,包括以下步骤:
将模块总线接口连接到需要调试的模块的总线接口上,并通过模块总线接口获取CPU发出的访问需要调试的模块的总线访问信息;
将获取的总线访问信息发送到译码器,通过译码器获取需要访问的寄存器地址;
根据需要调试的模块的寄存器文件生成与需要调试的模块的寄存器一一对应的寄存器;
将调试模块连接到译码器,并获取每个寄存器的信息并根据需要访问的寄存器地址显示寄存器的信息。
7.根据权利要求6所述的方法,其特征在于,还包括:
将模块总线接口获取的CPU发出的访问需要调试的模块的总线访问信息按顺序存储到读写队列中;
将读写队列中存储的总线访问信息按顺序发送到译码器中以获取需要访问的寄存器地址。
8.根据权利要求7所述的方法,其特征在于,还包括:
将获取到的需要访问的寄存器地址按顺序存储到第一读写队列中;
将第一读写队列中存储的寄存器地址依次发送到调试模块。
9.根据权利要求6所述的方法,其特征在于,还包括:
在编辑模块中以代码的方式输入需要修改的寄存器的信息。
10.根据权利要求9所述的方法,其特征在于,还包括:
将需要修改的寄存器的信息发送到编写总线接口中;
编写总线接口通过总线互联连接到需要调试的模块并根据需要修改的寄存器的信息对需要调试的模块寄存器进行修改。
CN202210414672.1A 2022-04-20 2022-04-20 一种寄存器调试平台和调试方法 Active CN114510432B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202210414672.1A CN114510432B (zh) 2022-04-20 2022-04-20 一种寄存器调试平台和调试方法
PCT/CN2022/142900 WO2023202148A1 (zh) 2022-04-20 2022-12-28 一种寄存器调试平台和调试方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202210414672.1A CN114510432B (zh) 2022-04-20 2022-04-20 一种寄存器调试平台和调试方法

Publications (2)

Publication Number Publication Date
CN114510432A true CN114510432A (zh) 2022-05-17
CN114510432B CN114510432B (zh) 2022-07-12

Family

ID=81554975

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210414672.1A Active CN114510432B (zh) 2022-04-20 2022-04-20 一种寄存器调试平台和调试方法

Country Status (2)

Country Link
CN (1) CN114510432B (zh)
WO (1) WO2023202148A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116521468A (zh) * 2023-07-05 2023-08-01 西安智多晶微电子有限公司 一种fpga在线调试方法及支持在线调试的fpga
WO2023202148A1 (zh) * 2022-04-20 2023-10-26 苏州浪潮智能科技有限公司 一种寄存器调试平台和调试方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1133455A (zh) * 1994-12-28 1996-10-16 株式会社东芝 微处理器与调试系统
US6026501A (en) * 1995-08-30 2000-02-15 Motorola Inc. Data processing system for controlling execution of a debug function and method thereof
CN105205249A (zh) * 2015-09-17 2015-12-30 深圳国微技术有限公司 一种soc调试验证系统及其软硬件协同方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5545054B2 (ja) * 2010-06-11 2014-07-09 富士通株式会社 デバッグ回路及びデバッグシステム
CN101938566B (zh) * 2010-09-10 2013-04-10 青岛海信移动通信技术股份有限公司 一种可视化的终端调试方法和装置
CN106294143B (zh) * 2016-08-03 2020-03-03 北京百度网讯科技有限公司 芯片的寄存器的调试方法及装置
CN109918303B (zh) * 2019-03-05 2022-12-16 上海嘉楠捷思信息技术有限公司 一种芯片、芯片调试方法及装置、设备、介质
CN114510432B (zh) * 2022-04-20 2022-07-12 苏州浪潮智能科技有限公司 一种寄存器调试平台和调试方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1133455A (zh) * 1994-12-28 1996-10-16 株式会社东芝 微处理器与调试系统
US6026501A (en) * 1995-08-30 2000-02-15 Motorola Inc. Data processing system for controlling execution of a debug function and method thereof
CN105205249A (zh) * 2015-09-17 2015-12-30 深圳国微技术有限公司 一种soc调试验证系统及其软硬件协同方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
张博: "RISC-V追踪调试模块的设计与优化", 《中国优秀硕士学位论文全文数据库信息科技辑》 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023202148A1 (zh) * 2022-04-20 2023-10-26 苏州浪潮智能科技有限公司 一种寄存器调试平台和调试方法
CN116521468A (zh) * 2023-07-05 2023-08-01 西安智多晶微电子有限公司 一种fpga在线调试方法及支持在线调试的fpga
CN116521468B (zh) * 2023-07-05 2023-09-15 西安智多晶微电子有限公司 一种fpga在线调试方法及支持在线调试的fpga

Also Published As

Publication number Publication date
CN114510432B (zh) 2022-07-12
WO2023202148A1 (zh) 2023-10-26

Similar Documents

Publication Publication Date Title
CN114510432B (zh) 一种寄存器调试平台和调试方法
US8122428B2 (en) Methods and apparatus for automation and facilitating design of register maps
CN102480467B (zh) 一种基于网络通讯协议的soc软硬件协同仿真验证方法
US7283944B2 (en) Circuit simulation bus transaction analysis
WO2024046362A1 (zh) 验证系统、验证方法、电子设备以及存储介质
CN115952758A (zh) 芯片验证方法、装置、电子设备及存储介质
US20130024178A1 (en) Playback methodology for verification components
US20060282586A1 (en) Bus system design method and apparatus
CN115017845A (zh) 用于ip单元级别验证的总线驱动式芯片仿真激励模型
US20100274550A1 (en) Integrated development structure having virtual inputs/outputs for embedded hardware/software
CN112434478B (zh) 仿真逻辑系统设计的虚拟接口的方法及相关设备
CN111176926B (zh) 一种基于双口sram的ip核仿真系统及仿真方法
US10067854B2 (en) System and method for debugging software executed as a hardware simulation
Chen et al. Me3D: A model-driven methodology expediting embedded device driver development
US10816600B1 (en) Protocol analysis and visualization during simulation
CN116306392A (zh) 芯片仿真装置、方法、电子设备和存储介质
CN115794621A (zh) 代码覆盖测试方法、装置、设备及存储介质
CN112232003B (zh) 对设计进行仿真的方法、电子设备及存储介质
CN113496108B (zh) 一种应用于仿真的cpu模型
CN113609052A (zh) 基于fpga和微处理器的芯片模拟系统及实现方法
JP2013020425A (ja) オープンソースソフトウェアを利用したハードウェア・ソフトウェア協調検証方法
JP5577619B2 (ja) 論理回路設計装置
CN116627496B (zh) 基于uvm的寄存器模型构建、验证方法、系统与电子设备
US12032932B2 (en) Compiler-based generation of transaction accurate models from high-level languages
CN114169287B (zh) 生成验证环境的连接示意图的方法、电子设备及存储介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant