CN114350263A - Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer using the same - Google Patents

Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer using the same Download PDF

Info

Publication number
CN114350263A
CN114350263A CN202111152096.XA CN202111152096A CN114350263A CN 114350263 A CN114350263 A CN 114350263A CN 202111152096 A CN202111152096 A CN 202111152096A CN 114350263 A CN114350263 A CN 114350263A
Authority
CN
China
Prior art keywords
slurry composition
substituted
unsubstituted
polishing
chemical mechanical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202111152096.XA
Other languages
Chinese (zh)
Other versions
CN114350263B (en
Inventor
金元中
具仑永
金亨默
朴泰远
李义郞
李锺元
赵娟振
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung SDI Co Ltd
Original Assignee
Samsung SDI Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung SDI Co Ltd filed Critical Samsung SDI Co Ltd
Publication of CN114350263A publication Critical patent/CN114350263A/en
Application granted granted Critical
Publication of CN114350263B publication Critical patent/CN114350263B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Abstract

The present invention relates to a chemical mechanical polishing slurry composition for polishing a tungsten pattern wafer and a method of polishing a tungsten pattern wafer using the same. The chemical-mechanical polishing composition comprises a solvent and an abrasive. The abrasive comprises silica modified with an aminosilane derivatized with polyethyleneimine, and the composition has a pH of 4 to 7. The composition can improve the polishing rate and flatness of a tungsten pattern wafer while suppressing generation of scratch defects after polishing.

Description

Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer using the same
Cross Reference to Related Applications
This application claims the benefit of korean patent application No. 10-2020-.
Technical Field
The present invention relates to a CMP slurry composition for polishing a tungsten pattern wafer and a method of polishing a tungsten pattern wafer using the same. More particularly, the present invention relates to a CMP slurry composition for polishing a tungsten pattern wafer, which can improve the polishing rate and flatness of the tungsten pattern wafer while suppressing generation of scratch defects after polishing, and a method of polishing a tungsten pattern wafer using the same.
Background
Chemical Mechanical Polishing (CMP) compositions and methods of polishing (or planarizing) a surface of a substrate are well known in the relevant art. Polishing compositions for polishing metal layers (e.g., tungsten layers) on semiconductor substrates can comprise abrasive particles suspended in an aqueous solution and a chemical accelerator such as an oxidizing agent, catalyst, or the like.
The process for polishing a metal layer using a CMP composition comprises: polishing only the metal layer; polishing the metal layer and the barrier layer; and polishing the metal layer, the barrier layer, and the oxide layer. Among these steps, in the step of polishing the metal layer, the barrier layer, and the oxide layer, the composition for polishing the tungsten pattern wafer is used and good polishing flatness can be obtained under the condition that the metal layer and the oxide layer are polished at an appropriate polishing rate.
Disclosure of Invention
An aspect of the present invention is to provide a CMP slurry composition for polishing a tungsten pattern wafer, which can improve the polishing rate and flatness of the tungsten pattern wafer while suppressing generation of scratch defects after polishing.
Another aspect of the present invention is to provide a method of polishing a tungsten pattern wafer using the CMP slurry composition.
According to one aspect of the present invention, there is provided a CMP slurry composition for polishing a tungsten pattern wafer. The composition may comprise a solvent and an abrasive. The abrasive can comprise silica modified with an aminosilane derivatized with polyethyleneimine, and the composition can have a pH of about 4 to about 7.
The polyethyleneimine-derived aminosilane may comprise the reaction product of polyethyleneimine with a compound of formula 1, a cation derived from the reaction product, or a salt of the reaction product.
[ formula 1]
Figure BDA0003287499260000021
Wherein L is selected from substituted or unsubstituted C1To C20Alkylene, substituted or unsubstituted C3To C20Cycloalkylene, substituted or unsubstituted C6To C20Arylene, or a combination thereof; x is selected from F, Cl, Br, I, epoxy and glycidyloxy; and R is1To R3Each independently selected from hydrogen, hydroxy, substituted or unsubstituted C1To C20Alkyl, substituted or unsubstituted C3To C20Cycloalkyl, substituted or unsubstituted C6To C20Aryl, substituted or unsubstituted C1To C20Alkoxy and substituted or unsubstituted C6To C20Aryloxy radical, R1To R3At least one of them is selected from hydroxyl, substituted or unsubstituted C1To C20Alkoxy and substituted or unsubstituted C6To C20An aryloxy group.
In formula 1, L may be selected from C1To C5Alkylene, X is selected from Cl and glycidyloxy, and R1To R3Can be independently selected from hydroxyl and C1To C5Alkyl and C1To C5Alkoxy radical, R1To R3At least one of them is selected from the group consisting of hydroxyl and C1To C5An alkoxy group.
The compound of formula 1 may be selected from chloropropyltrimethoxysilane, chloropropyltriethoxysilane, glycidoxypropyltrimethoxysilane and glycidoxypropyltriethoxysilane.
The polyethyleneimine may have a weight average molecular weight (Mw) of about 500 g/mole to about 1,000,000 g/mole as measured by Gel Permeation Chromatography (GPC).
The aminosilane-modified silica derivatized with polyethyleneimine may have a positive charge on its surface, a surface potential of about 10 millivolts to about 60 millivolts, and an isoelectric point present at a pH of about 6 to about 10.
The aminosilane-modified silica derivatized with polyethyleneimine may have an average particle size (D50) of about 10 nanometers to about 200 nanometers.
The composition may further comprise at least one selected from the group consisting of an oxidizing agent, a catalyst, and an organic acid.
The composition may comprise: about 0.001 wt.% to about 20 wt.% abrasive; about 0.01 wt% to about 20 wt% of an oxidizing agent; about 0.001 wt% to about 10 wt% of a catalyst; about 0.001% to about 20% by weight of an organic acid; and the balance solvent.
A method of polishing a tungsten patterned wafer is provided. The method comprises polishing a tungsten pattern wafer using the CMP slurry composition.
The present invention provides a CMP slurry composition for polishing a tungsten pattern wafer, which can improve the polishing rate and flatness of the tungsten pattern wafer while suppressing generation of scratch defects after polishing, and a method of polishing a tungsten pattern wafer using the same.
Detailed Description
As used herein, the singular forms "a", "an" and "the" are intended to include the plural forms as well, unless the context clearly indicates otherwise.
Furthermore, the terms "comprises," "comprising," "includes" and/or "having" when used in this specification specify the presence of stated features, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, steps, operations, elements, components, and/or groups thereof.
In this context, substituted C1To C20Alkylene, substituted C3To C20Cycloalkylene, substituted C6To C20Arylene, substituted C1To C20Alkyl, substituted C3To C20Cycloalkyl, substituted C6To C20Aryl, substituted C1To C20Alkoxy and substituted C6To C20At least one of the aryloxy groups may be selected from C1To C20Alkyl radical, C3To C20Cycloalkyl and C6To C20And (4) an aryl group.
Furthermore, unless expressly stated otherwise, numerical values associated with a certain component are to be construed as inclusive of the tolerance ranges in the interpretation of the component.
As used herein to refer to a particular range of values, the expression "a through b" is defined as ". gtoreq.a and ≦ b".
The present inventors completed the present invention based on the following findings: in a CMP slurry composition for polishing a tungsten pattern wafer, which contains a solvent and an abrasive, when abrasive particles modified with an aminosilane derivatized with polyethyleneimine are used as the abrasive, the polishing rate of the tungsten pattern wafer and the flatness of the polished surface of the tungsten pattern wafer are improved by reducing erosion while suppressing generation of scratch defects after polishing the tungsten pattern wafer. Further, the inventors of the present invention can achieve an improvement in the polishing rate of a tungsten pattern wafer and the flatness of the polished surface of the tungsten pattern wafer using silica modified with aminosilane derivatized with polyethyleneimine as an abrasive under subacid pH conditions, as compared with the strong acid conditions having a pH of 1 to 3 in the prior art.
According to one aspect of the present invention, a CMP slurry composition for polishing a tungsten pattern wafer (hereinafter referred to as "CMP slurry composition") may comprise a solvent and an abrasive, wherein the abrasive comprises silica modified with an aminosilane derivatized with polyethyleneimine, and the composition has a pH of about 4 to about 7.
Hereinafter, components of the CMP slurry composition according to an embodiment of the present invention will be described in detail.
Solvent(s)
The solvent serves to reduce friction when polishing a tungsten pattern wafer with an abrasive. The solvent may be selected from polar solvents, non-polar solvents, and combinations thereof. For example, the solvent may comprise water (e.g., ultrapure or deionized water), organic amines, organic alcohols, organic alcohol amines, organic ethers, organic ketones, and the like. In one embodiment, the solvent may be ultrapure water or deionized water, but is not limited thereto. The solvent may be contained in the CMP slurry composition in the balance.
Abrasive agent
The abrasive can polish an insulating layer (e.g., a silicon oxide layer) and a tungsten pattern wafer at a high polishing rate.
Abrasives, such as aminosilane-modified silica derivatized with polyethyleneimine, comprise spherical particles or non-spherical particles and have an average particle diameter (D50) of, for example, about 10 nanometers to about 200 nanometers (e.g., 10 nanometers, 20 nanometers, 30 nanometers, 40 nanometers, 50 nanometers, 60 nanometers, 70 nanometers, 80 nanometers, 90 nanometers, 100 nanometers, 110 nanometers, 120 nanometers, 130 nanometers, 140 nanometers, 150 nanometers, 160 nanometers, 170 nanometers, 180 nanometers, 190 nanometers, or 200 nanometers), specifically about 20 nanometers to about 180 nanometers, more specifically about 40 nanometers to about 130 nanometers. Within this range, the CMP slurry composition can ensure a sufficient polishing rate with respect to the insulating layer and the tungsten pattern wafer as the polishing target according to the present invention without generating surface defects (scratches, etc.) after polishing. As a typical particle size known to those skilled in the art, the "average particle size (D50)" means a diameter corresponding to about 50 vol% of the particles in the volume distribution of the abrasive.
In the CMP slurry composition, the abrasive, e.g., the aminosilane-modified silica derivatized with polyethyleneimine, can be present in an amount of, e.g., about 0.001 wt.% to 20 wt.%, specifically about 0.01 wt.% to 10 wt.%, more specifically about 0.05 wt.% to 5 wt.%, and yet more specifically about 0.5 wt.% to 3 wt.%. Within this range, the CMP slurry composition can ensure a sufficient polishing rate of the insulating layer and the tungsten pattern wafer without generating scratches, while ensuring dispersion stability of the composition, but is not limited thereto.
The abrasive can comprise silica modified with an aminosilane derivatized with polyethyleneimine.
The polyethyleneimine is a compound having an amino group and CH2CH2A spacer group, and contains a plurality of nitrogen atoms. The silica modified with aminosilane derivatized with polyethyleneimine having a plurality of nitrogen atoms has much better effect on the polishing rate of tungsten pattern wafers and has an improvement in the flatness of tungsten pattern wafers, compared with unmodified silica or aminosilane modified silica having a small number of nitrogen atoms (e.g., one or two nitrogen atoms). In addition, the CMP slurry composition containing silica modified with aminosilane derivatized with polyethyleneimine can achieve a high polishing rate of tungsten pattern wafers and an improvement in the flatness of tungsten pattern wafers when polished under subacid pH conditions, as compared to under strongly acidic conditions.
The polyethyleneimine may be selected from linear polyethyleneimine, branched polyethyleneimine, and combinations thereof. The linear polyethyleneimine may be represented by formula a and the branched polyethyleneimine may be represented by formula B, but is not limited thereto:
[ formula A ]
Figure BDA0003287499260000051
[ formula B ]
Figure BDA0003287499260000052
According to one embodiment, the polyethyleneimine may have a weight average molecular weight (Mw) of, for example, about 500 g/mole to 1,000,000 g/mole, specifically about 1,000 g/mole to about 500,000 g/mole, more specifically about 2,500 g/mole to about 250,000 g/mole, yet more specifically about 5,000 g/mole to about 50,000 g/mole, as measured by GPC. Within this range, the abrasive containing the silica modified with aminosilane derivatized with polyethyleneimine can improve the polishing rate with respect to the insulating layer and the tungsten pattern wafer and can suppress the generation of surface defects (scratches and the like) after polishing, but is not limited thereto.
According to one embodiment, the silica may be modified with an aminosilane derivatized with polyethyleneimine. The polyethyleneimine-derived aminosilane may comprise the reaction product of polyethyleneimine with a compound of formula 1, a cation derived from the reaction product, or a salt of the reaction product.
[ formula 1]
Figure BDA0003287499260000061
Here, "a cation derived from the reaction product of polyethyleneimine and the compound of formula 1" may mean that at least one nitrogen atom in the reaction product may have a cation (i.e., N +). On the other hand, in the reaction product of polyethyleneimine with the compound of formula 1, the cation is the same as the above-mentioned cation and the anion may comprise, for example, a halogen anion (e.g., F)-、Cl-、Br-And I-) (ii) a Organic acid anions, e.g. carbonate anions (e.g. CO)3 2-And HCO3 -) Acetate anion (CH)3COO-) Citric acid anion (HOC (COO)-)(CH2COO-)2) Etc.; and/or inorganic acid anions, e.g. nitrogen-containing anions (e.g. NO)3 -、NO2 -) (ii) a Phosphorus-containing anions (e.g. PO)4 3-、HPO4 2-And H2PO4 -) (ii) a Sulfur-containing anions (e.g. SO)4 2-And HSO4 -) (ii) a Cyanide anion (CN)-) And the like.
In formula 1, L may be selected from substituted or unsubstituted C1To C20Alkylene, substituted or unsubstituted C3To C20Cycloalkylene, substituted or unsubstituted C6To C20Arylene, or a combination thereof. For example, L may be substituted or unsubstitutedC of (A)1To C10Alkylene, alternatively substituted or unsubstituted C1To C5An alkylene group. According to one embodiment, L may be n-propylene (n-propylene), but is not limited thereto.
In formula 1, X may be selected from F, Cl, Br, I, epoxy group and glycidyloxy group. According to one embodiment, X may be selected from Cl and glycidyloxy, but is not limited thereto.
In formula 1, R1To R3Each independently selected from hydrogen, hydroxy, substituted or unsubstituted C1To C20Alkyl, substituted or unsubstituted C3To C20Cycloalkyl, substituted or unsubstituted C6To C20Aryl, substituted or unsubstituted C1To C20Alkoxy and substituted or unsubstituted C6To C20Aryloxy group, wherein R1To R3At least one of them is selected from hydroxyl, substituted or unsubstituted C1To C20Alkoxy and substituted or unsubstituted C6To C20An aryloxy group. For example, R1To R3Each independently selected from hydrogen, hydroxy, substituted or unsubstituted C1To C10Alkyl and substituted or unsubstituted C1To C10Alkoxy radical, wherein R1To R3At least one of which is selected from the group consisting of hydroxyl and substituted or unsubstituted C1To C10An alkoxy group. In another embodiment, R1To R3Each independently selected from hydroxy, C1To C5Alkyl and C1To C5Alkoxy radical, wherein R1To R3At least one of them is selected from the group consisting of hydroxyl and C1To C5An alkoxy group. In yet another embodiment, R1To R3Each independently selected from methoxy and ethoxy, but is not limited thereto.
According to one embodiment, the compound of formula 1 may be selected from chloropropyltrimethoxysilane, chloropropyltriethoxysilane, glycidyloxypropyltrimethoxysilane, and glycidyloxypropyltriethoxysilane.
Silica modified with the reaction product of polyethyleneimine and the compound of formula 1, a cation derived from the reaction product, or a salt of the reaction product can be obtained by adding the compound, cation, or salt to unmodified silica, followed by reaction for a predetermined period of time. The unmodified silica may comprise at least one of colloidal silica and fumed silica, preferably colloidal silica.
According to one embodiment, the aminosilane-modified silica derivatized with polyethyleneimine may have a positive charge on its surface, a surface potential of about 10 millivolts to about 60 millivolts (e.g., 10 millivolts, 20 millivolts, 30 millivolts, 40 millivolts, 50 millivolts, or 60 millivolts), and an isoelectric point present at a pH of about 6 to about 10 (e.g., a pH of about 6 to about 8, specifically a pH of about 7 to about 8).
The CMP slurry composition may further comprise at least one selected from among an oxidizing agent, a catalyst, and an organic acid.
Oxidizing agent
The oxidizer serves to facilitate polishing of the tungsten pattern wafer by oxidizing the tungsten pattern wafer.
The oxidizer serves to oxidize the tungsten pattern wafer to facilitate polishing of the tungsten pattern wafer.
The oxidizing agent may include at least one selected from the group consisting of: inorganic per-compounds, organic per-compounds, bromic acid or salts thereof, nitric acid or salts thereof, chloric acid or salts thereof, chromic acid or salts thereof, iodic acid or salts thereof, iron or salts thereof, copper or salts thereof, rare earth metal oxides, transition metal oxides, potassium dichromate, and mixtures thereof. Here, per-compounds are compounds containing at least one peroxy group (-O-) or element in the highest oxidation state. In one embodiment, the oxidizing agent may comprise per-compounds (e.g., hydrogen peroxide, potassium ferricyanide, calcium peroxosulfate, potassium ferricyanide, and the like). In another embodiment, the oxidizing agent may be hydrogen peroxide, but is not limited thereto.
In the CMP slurry composition, the oxidizing agent can be present in an amount of, for example, about 0.01 wt.% to about 20 wt.%, specifically about 0.05 wt.% to about 10 wt.%, more specifically about 0.1 wt.% to about 5 wt.%. Within this range, the CMP slurry composition can improve the polishing rate of the tungsten metal layer, but is not limited thereto.
Catalyst and process for preparing same
The catalyst is used to improve the polishing rate of a tungsten pattern wafer, and may include, for example, an iron ion compound, a complex compound of iron ion, and a hydrate thereof.
The ferric ion compound may comprise a compound containing a ferric cation. The compound containing ferric cations may be selected from any compound having ferric cations that are present in free cationic form in aqueous solution. For example, the compound containing a ferric cation may comprise a compound selected from ferric chloride (FeCl)3) Iron nitrate (Fe (NO)3)3) And iron (Fe) sulfate2(SO4)3) But is not limited thereto.
The complexing compound for ferric ions may comprise, for example, a complexing compound containing a ferric cation. The ferric cation-containing complex compound may include a compound formed by reacting a ferric cation with an organic compound or an inorganic compound having at least one functional group selected from, for example, carboxylic acid, phosphoric acid, sulfuric acid, amino acid, and amine in an aqueous solution. Examples of the organic or inorganic compound may include citric acid, ammonium citrate, p-toluenesulfonic acid (pTSA), 1,3-propylenediaminetetraacetic acid (1,3-propylenediaminetetraacetic acid, PDTA), ethylenediaminetetraacetic acid (EDTA), diethylenetriaminepentaacetic acid (DTPA), nitrilotriacetic acid (NTA), and ethylenediamine-N, N' -disuccinic acid (EDDS), but are not limited thereto. Examples of the ferric cation-containing compound may include ferric citrate, ferric ammonium citrate, Fe (III) -pTSA, Fe (III) -PDTA, and Fe (III) -EDTA, but are not limited thereto.
In the CMP slurry composition, the catalyst (e.g., at least one selected from the group consisting of an iron ion compound, a complex compound of iron ion, and a hydrate thereof) can be present in an amount of, for example, about 0.001 wt% to about 10 wt%, specifically about 0.001 wt% to about 5 wt%, more specifically about 0.001 wt% to about 1 wt%, and yet more specifically about 0.001 wt% to about 0.5 wt%. Within this range, the CMP slurry composition can improve the polishing rate of the tungsten metal layer, but is not limited thereto.
Organic acids
The organic acid serves to stabilize the pH of the CMP slurry composition. The organic acid may comprise polycarboxylic acids such as malonic acid, maleic acid, malic acid, etc.; or amino acids such as glycine, isoleucine, leucine, phenylalanine, methionine, threonine, tryptophan, valine, alanine, arginine, cysteine, glutamyl acid, histidine, proline, serine, tyrosine, and lysine, etc.
In the CMP slurry composition, the organic acid can be present in an amount of, for example, about 0.001 wt% to about 20 wt%, specifically about 0.01 wt% to about 10 wt%, more specifically about 0.01 wt% to about 5 wt%, and yet more specifically about 0.01 wt% to about 1 wt%. Within this range, the organic acid may stabilize the pH of the CMP slurry composition, but is not limited thereto.
The CMP slurry composition can have a pH of about 4 to about 7, such as greater than about 4 to about 7, specifically about 4 to about 6, more specifically greater than about 4 to about 6, yet more specifically about 4.5 to about 6, yet more specifically greater than about 4.5 to about 6, yet more specifically about 5 to about 6. Within this range, the CMP slurry composition containing the modified silica as an abrasive can achieve a high polishing rate of a tungsten pattern wafer when polished under subacid pH conditions, as compared to under strongly acidic conditions.
The CMP slurry composition may further comprise a pH adjuster to maintain a suitable pH value.
pH regulator
The pH adjustor may comprise at least one selected from among inorganic acids such as nitric acid, phosphoric acid, hydrochloric acid, and sulfuric acid, and organic acids such as organic acids having a pKa value of about 6 or less than 6, such as acetic acid and phthalic acid. The pH adjuster may comprise at least one base selected from, for example, ammonia solution, sodium hydroxide, potassium hydroxide, ammonium hydroxide, sodium carbonate, and potassium carbonate.
The CMP slurry composition may further include typical additives such as biocides, surfactants, dispersants, modifiers, surfactants, and the like. In the CMP slurry composition, the additive can be present in an amount of about 0.001 wt% to about 5 wt%, specifically about 0.001 wt% to about 1 wt%, more specifically about 0.001 wt% to about 0.5 wt%. Within this range, the additive can achieve its effect without affecting the polishing rate, but is not limited thereto.
According to another aspect of the present invention, a method of polishing a tungsten patterned wafer is provided. The polishing method comprises polishing a tungsten pattern wafer using the CMP slurry composition according to the present invention.
Next, the present invention will be described in more detail with reference to examples. It should be noted, however, that these examples are provided for illustrative purposes only and should not be construed as limiting the invention in any way.
Examples of the invention
Details of components used in examples and comparative examples are as follows.
(1) Unmodified abrasive: has an average particle diameter (D) of 120 nm50) Colloidal silica of (PL-7, Hibiscus Chemical Industries).
(2) pH regulator: nitric acid or ammonia solution.
Example 1
0.04 mmole of trimethoxysilylpropyl-modified polyethyleneimine (50% in isopropanol, product code: SSP-060, Gelest) was mixed with the unmodified abrasive in terms of the solid content of the unmodified abrasive, and reacted at 25 ℃ for 72 hours under the condition of pH 2.5, thereby preparing aminosilane-modified silica (average particle diameter (D) derived from polyethyleneimine50): 125 nm).
The CMP slurry composition was prepared by mixing 1.5 wt% of modified silica as an abrasive, 0.01 wt% of fe (iii) -EDTA as a catalyst, 0.15 wt% of acetic acid as an organic acid, and the balance of deionized water as a solvent, based on the total weight of the CMP slurry composition. The CMP slurry composition was adjusted to a pH of 5.5 using a pH adjuster. Subsequently, 0.3 wt% of hydrogen peroxide was added as an oxidizing agent to the slurry composition.
Example 2
A CMP slurry composition was prepared in the same manner as in example 1, except that 1.0 mmol of [3- (2, 3-epoxypropoxy) propyl ] trimethoxysilane (EPO, 98% purity, Sigma-Aldrich) and 3.0 mmol of hyperbranched polyethyleneimine (PEI, Mw 60,000, Sigma-Aldrich) were dissolved in 150 ml of toluene, followed by stirring the reaction product at 80 ℃ for 24 hours and drying under reduced pressure to prepare a polyethyleneimine-derived aminosilane, and the polyethyleneimine-derived aminosilane was used instead of the trimethoxysilylpropyl-modified polyethyleneimine.
Comparative example 1
A CMP slurry composition was prepared in the same manner as in example 1, except that an unmodified abrasive was used as the abrasive.
Comparative example 2
A CMP slurry composition was prepared in the same manner as in example 1, except that silica was modified with aminopropyltriethoxysilane ((3-aminopropyl) triethoxysilane 99%, sigma-aldrich) instead of trimethoxysilylpropyl-modified polyethyleneimine.
Comparative example 3
A CMP slurry composition was prepared in the same manner as in example 1, except that the pH of the CMP slurry composition was changed to 3.9 using a pH adjustor.
Comparative example 4
A CMP slurry composition was prepared in the same manner as in example 1, except that the pH of the CMP slurry composition was changed to 7.1 using a pH adjustor.
The CMP slurry compositions prepared in examples and comparative examples were subjected to polishing evaluation under the following polishing conditions. The results are shown in table 1.
[ polishing evaluation conditions ]
1. Polishing machine: reflexion 300mm (applied materials Ltd (AMAT co., Ltd.))
2. Polishing conditions
-a polishing pad: VP 3100/Rohm and Haas Company
-head speed: 35 revolutions per minute
-platen speed: 33 revolutions per minute
-pressure: 1.5 psi
-ring pressure: 8 psi
-slurry flow rate: 250 ml/min
-polishing time: 60 seconds
3. Polishing target
Commercially available tungsten patterned wafers (MIT 854, 300mm)
-preparing a mixture by mixing CMP slurry for tungsten polishing (STARPLANAR7000, Samsung SDI co., Ltd.) with deionized water at a weight ratio of 1:2, and adding hydrogen peroxide to the mixture in an amount of 2 wt% by weight of the mixture, thereby preparing a mixed solution, which is then used for polishing a tungsten pattern wafer. Tungsten pattern wafers were polished on a polisher (Reflexion LK300mm) using an IC1010/SubaIV stacked polishing pad (Rodel co., Ltd.) at a head speed of 101 rpm, a platen speed of 33 rpm, a polishing pressure of 2 psi, a retainer ring pressure of 8 psi, and a mixed solution flow rate of 250 ml/min. Polishing is performed to remove the tungsten metal layer until the oxide/metal pattern is exposed.
4. Analytical method
Polishing rate (unit: Angstrom/min): the polishing rate of the tungsten metal layer was calculated based on the resistance corresponding to the difference in film thickness before and after polishing in the evaluation under the above polishing conditions. The polishing rate of the insulating layer was calculated based on the difference in film thickness before and after polishing under the above polishing conditions, wherein the difference in film thickness was measured using a reflectometer.
Flatness (erosion, unit: angstroms): after polishing a wafer using each of the CMP slurry compositions prepared in examples and comparative examples under the above-described polishing conditions, the profile of the pattern was measured using an Insight CAP compact atom analyzer (Bruker co., Ltd.). Erosion was calculated based on the height difference between the peripheral oxide (peri-oxide) and the cell-oxide (cell-oxide) in the 0.18/0.18 micron pattern area of the polished wafer. The scan speed was set to 100 μm/sec and the scan length was set to 2 mm.
TABLE 1
Example 1 Example 2 Comparative example 1 Comparative example 2 Comparative example 3 Comparative example 4
pH 5.5 5.5 5.5 5.5 3.9 7.1
Polishing rate of tungsten metal layer 30 30 10 10 20 25
Polishing rate of insulating layer 120 120 5 10 50 80
Flatness of 150 150 800 550 300 400
As can be seen from table 1, the CMP slurry compositions of examples 1 and 2, which were prepared using silica modified with an aminosilane derivatized with polyethyleneimine and have a pH within the inventive range, exhibited higher polishing rates and better flatness when polishing tungsten pattern wafers than the CMP slurry compositions of comparative examples 1 to 4.
Although some embodiments have been described above, it should be understood that the present invention is not limited to these embodiments and various modifications, changes, and alterations may be made without departing from the spirit and scope of the present invention. Accordingly, the scope of the invention should be limited only by the attached claims and equivalents thereof.

Claims (10)

1. A chemical mechanical polishing slurry composition for polishing tungsten patterned wafers comprising:
a solvent; and an abrasive, and a polishing agent,
wherein the abrasive comprises silica modified with an aminosilane derivatized with polyethyleneimine, and the chemical mechanical polishing slurry composition has a pH of 4 to 7.
2. The chemical mechanical polishing slurry composition of claim 1, wherein the aminosilane derivatized with the polyethyleneimine includes a reaction product of the polyethyleneimine with a compound of formula 1, a cation derivatized from the reaction product, or a salt of the reaction product:
Figure FDA0003287499250000011
wherein L is selected from substituted or unsubstituted C1To C20Alkylene, substituted or unsubstituted C3To C20Cycloalkylene, substituted or unsubstituted C6To C20Arylene, or a combination thereof;
x is selected from fluorine, chlorine, bromine, iodine, epoxy and glycidyloxy; and
R1to R3Each independently selected from hydrogen, hydroxy, substituted or unsubstituted C1To C20Alkyl, substituted or unsubstituted C3To C20Cycloalkyl, substituted or unsubstituted C6To C20Aryl, substituted or unsubstituted C1To C20Alkoxy and substituted or unsubstituted C6To C20Aryloxy radical, R1To R3At least one of them is selected from hydroxyl, substituted or unsubstituted C1To C20Alkoxy and substituted or unsubstituted C6To C20An aryloxy group.
3. The chemical mechanical polishing slurry composition according to claim 2, wherein in formula 1, L is selected from C1To C5Alkylene, X is selected from chlorine and glycidyloxy, and R1To R3Each independently selected from hydroxy, C1To C5Alkyl and C1To C5Alkoxy radical, R1To R3At least one of them is selected from the group consisting of hydroxyl and C1To C5An alkoxy group.
4. The chemical mechanical polishing slurry composition of claim 2, wherein the compound of formula 1 is selected from the group consisting of chloropropyltrimethoxysilane, chloropropyltriethoxysilane, glycidyloxypropyltrimethoxysilane, and glycidyloxypropyltriethoxysilane.
5. The chemical mechanical polishing slurry composition of claim 1, wherein the polyethyleneimine has a weight average molecular weight of 500 g/mole to 1,000,000 g/mole as measured by gel permeation chromatography.
6. The chemical mechanical polishing slurry composition of claim 1, wherein the silica modified with the aminosilane derivatized with the polyethyleneimine has a positive charge on its surface, a surface potential of 10 to 60 mv, and the isoelectric point of the silica can be present at a pH of 6 to 10.
7. The chemical mechanical polishing slurry composition of claim 1, wherein the silica modified with the aminosilane derivatized with the polyethyleneimine has a D50 average particle size of 10 to 200 nanometers.
8. The chemical mechanical polishing slurry composition of claim 1, further comprising: at least one selected from the group consisting of an oxidizing agent, a catalyst, and an organic acid.
9. The chemical mechanical polishing slurry composition of claim 8, comprising:
0.001 to 20 wt.% of the abrasive;
0.01 to 20 wt% of the oxidizing agent;
0.001 to 10 weight percent of the catalyst;
0.001 to 20% by weight of the organic acid; and
the balance of the solvent.
10. A method of polishing a tungsten patterned wafer comprising: use of the chemical mechanical polishing slurry composition of any one of claims 1 to 9 for polishing a tungsten pattern wafer.
CN202111152096.XA 2020-10-14 2021-09-29 Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer using the same Active CN114350263B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020200133040A KR20220049424A (en) 2020-10-14 2020-10-14 Cmp slurry composition for polishing tungsten pattern wafer and method for polishing tungsten pattern wafer using the same
KR10-2020-0133040 2020-10-14

Publications (2)

Publication Number Publication Date
CN114350263A true CN114350263A (en) 2022-04-15
CN114350263B CN114350263B (en) 2023-07-25

Family

ID=81077535

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111152096.XA Active CN114350263B (en) 2020-10-14 2021-09-29 Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer using the same

Country Status (5)

Country Link
US (1) US20220112401A1 (en)
JP (1) JP2022064862A (en)
KR (1) KR20220049424A (en)
CN (1) CN114350263B (en)
TW (1) TW202214794A (en)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080081469A1 (en) * 2006-09-28 2008-04-03 Hynix Semiconductor Inc. Method for forming contact plug in a semiconductor device
CN106575614A (en) * 2014-06-25 2017-04-19 嘉柏微电子材料股份公司 Methods for fabricating chemical-mechanical polishing composition
CN106661430A (en) * 2014-06-25 2017-05-10 嘉柏微电子材料股份公司 Tungsten chemical-mechanical polishing composition
CN108350318A (en) * 2015-10-28 2018-07-31 嘉柏微电子材料股份公司 The slurry of processing tungsten with cationic surface active agent and cyclodextrin
CN110669438A (en) * 2018-07-03 2020-01-10 罗门哈斯电子材料Cmp控股股份有限公司 Neutral to alkaline chemical mechanical polishing composition and method for tungsten
CN110734703A (en) * 2018-07-20 2020-01-31 弗萨姆材料美国有限责任公司 Tungsten chemical mechanical polishing for reduced oxide erosion
CN111471401A (en) * 2019-01-24 2020-07-31 罗门哈斯电子材料Cmp控股股份有限公司 Acidic polishing composition with enhanced defect suppression and method of polishing a substrate

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080081469A1 (en) * 2006-09-28 2008-04-03 Hynix Semiconductor Inc. Method for forming contact plug in a semiconductor device
CN106575614A (en) * 2014-06-25 2017-04-19 嘉柏微电子材料股份公司 Methods for fabricating chemical-mechanical polishing composition
CN106661430A (en) * 2014-06-25 2017-05-10 嘉柏微电子材料股份公司 Tungsten chemical-mechanical polishing composition
CN107001913A (en) * 2014-06-25 2017-08-01 嘉柏微电子材料股份公司 Cabosil chemical-mechanical polishing compositions
CN108350318A (en) * 2015-10-28 2018-07-31 嘉柏微电子材料股份公司 The slurry of processing tungsten with cationic surface active agent and cyclodextrin
CN110669438A (en) * 2018-07-03 2020-01-10 罗门哈斯电子材料Cmp控股股份有限公司 Neutral to alkaline chemical mechanical polishing composition and method for tungsten
CN110734703A (en) * 2018-07-20 2020-01-31 弗萨姆材料美国有限责任公司 Tungsten chemical mechanical polishing for reduced oxide erosion
CN111471401A (en) * 2019-01-24 2020-07-31 罗门哈斯电子材料Cmp控股股份有限公司 Acidic polishing composition with enhanced defect suppression and method of polishing a substrate

Also Published As

Publication number Publication date
JP2022064862A (en) 2022-04-26
TW202214794A (en) 2022-04-16
KR20220049424A (en) 2022-04-21
US20220112401A1 (en) 2022-04-14
CN114350263B (en) 2023-07-25

Similar Documents

Publication Publication Date Title
CN113166587B (en) Compositions and methods for chemical mechanical polishing of metals
CN114350263B (en) Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer using the same
KR102619857B1 (en) Cmp slurry composition for polishing tungsten and method for polishing tungsten using the same
CN113150694A (en) Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer using the same
CN115305010B (en) Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer
CN113969106B (en) Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer using the same
KR102637819B1 (en) Cmp slurry composition for polishing tungsten pattern wafer and method for polishing tungsten pattern wafer using the same
CN115232563B (en) Chemical mechanical polishing composition and method
WO2023085009A1 (en) Chemical-mechanical polishing composition and polishing method
TW202328367A (en) Cmp slurry composition and method of polishing tungsten pattern wafer using the same
WO2023085008A1 (en) Chemical-mechanical polishing composition, production method therefor, and polishing method
CN115232563A (en) Chemical mechanical polishing composition and method
WO2023026813A1 (en) Chemical mechanical polishing composition, and polishing method
EP4261258A1 (en) Composition for semiconductor processing and polishing method of semiconductor device using the same
KR20210085574A (en) Cmp slurry composition for polishing tungsten pattern wafer and method for polishing tungsten pattern wafer using the same
CN115305010A (en) Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer
KR20220163207A (en) Cmp slurry composition for polishing tungsten pattern wafer and method for polishing tungsten pattern wafer using the same
CN115109519A (en) Chemical mechanical polishing slurry composition and method for polishing tungsten pattern wafer
KR20220115316A (en) Cmp slurry composition for polishing tungsten and method for polishing tungsten using the same
CN116333686A (en) Chemical mechanical polishing composition and polishing method
CN116457432A (en) Polishing composition and method of use thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant