CN1142661C - 依据vpi/vci三扇区atm复接/分接的方法 - Google Patents

依据vpi/vci三扇区atm复接/分接的方法 Download PDF

Info

Publication number
CN1142661C
CN1142661C CNB011367164A CN01136716A CN1142661C CN 1142661 C CN1142661 C CN 1142661C CN B011367164 A CNB011367164 A CN B011367164A CN 01136716 A CN01136716 A CN 01136716A CN 1142661 C CN1142661 C CN 1142661C
Authority
CN
China
Prior art keywords
atm
fpga
scu
cell
vci
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB011367164A
Other languages
English (en)
Other versions
CN1347229A (zh
Inventor
赵炎
娄亚军
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dongfang Communication Sci & Tech Dev Co Ltd
Research Institute of Telecommunications Transmission Ministry of Industry and Information Technology
Original Assignee
Dongfang Communication Sci & Tech Dev Co Ltd
Research Institute of Telecommunications Transmission Ministry of Industry and Information Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dongfang Communication Sci & Tech Dev Co Ltd, Research Institute of Telecommunications Transmission Ministry of Industry and Information Technology filed Critical Dongfang Communication Sci & Tech Dev Co Ltd
Priority to CNB011367164A priority Critical patent/CN1142661C/zh
Publication of CN1347229A publication Critical patent/CN1347229A/zh
Application granted granted Critical
Publication of CN1142661C publication Critical patent/CN1142661C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Data Exchanges In Wide-Area Networks (AREA)

Abstract

本发明涉及通信系统,具体涉及在异步传输模式(ATM)中用现场可编程门阵列(FPGA)和中央处理器(CPU)来实现扇区控制单元(SCU)依据虚路径识别/虚信道识别(VPI/VCI)进行三扇区ATM复接/分接。在整个设计中,本发明提供的利用FPGA完成对ATM时序控制的方法有效的利用了可编程逻辑芯片所固有的灵活性,降低成本,减少电路板上芯片数量。另外由于没有使用专用芯片,可以减少软件软员一定的编写驱动程序的工作。由于灵活性高,可以对数据总线宽度和数据速率进行调整和改变。

Description

依据VPI/VCI三扇区ATM复接/分接的方法
(一)技术领域:
本发明涉及通信系统,具体涉及在异步传输模式(ATM)中用现场可编程门阵列(FPGA)和中央处理器(CPU)来实现扇区控制单元(SCU)依据虚路径识别/虚信道识别(VPI/VCI)进行三扇区ATM复接/分接。
(二)背景技术:
异步传输模式是一项国际标准的电信传输技术。它构成了许多宽带网络的基础,ATM使用多路复用技术、交换技术以及分段/重操作来支持高速传输网络。它的用途是提供高速率、低时延的多路复用交换网络,以支持各种类型的用户话务,如语音、数据或视频应用等。ATM用来简化许多业务在硬件中的实现。这样可以通过交换机和网络快速处理业务,减少延迟。ATM还允许用户获得可升级的带宽和按需带宽。
ATM在电信业引起了相当多的注意。宽带码分多址(WCDMA),即第三代移动通信标准化组织(3rd:Generation Partnership Project(3GPP))提出的无线传输技术,就利用ATM作传输承载。
3G基站收发信机(BTS)通用平台包括全球定位系统(GPS)接收机单元(GRU)、分路与合路单元(DCU)、基站控制单元(BCU)以及扇区控制单元(SCU)。GRU由GPS接收机和相应的外围电路构成,整个单元置于BTS机框上部,为模拟前端及基带处理提供时间频率基准及时间位置信息。DCU以单盘形式置于BTS机框内,接收来自模拟前端双天线的模拟基带I/Q信号,模拟/数字(A/D)变换后通过背板总线提供给扩频接收机;来自基带发送单元的模拟基带I/Q信号进行合并,提供给模拟前端。BCU以单盘形式置于BTS机框内,负责Iub接口的收发处理,对扇区SCU进行控制。SCU以单盘形式置于BTS机框内。扇区控制采取二级控制方式,扇区主控CPU通过各基带处理单元内设置的控制器完成对整个扇区的信道控制。此外,SCU还提供整个扇区和模拟前端(RFU)所需的工作时钟和频率驱动,以及基站控制(BCU)、DCU所需的工作电源。在本设备中,采用主SCU来作为BCU进行对BTS的控制和与无线网路控制器(RNC)之间的通信。
(三)发明内容:●依据VPI/VCI三扇区ATM复接部分设计
在数字通信网中,为了扩大传输容量和提高传输效率,常常需要把若干个低速数字信号合并成一个高速数字信号,然后再通过高速信道传输。数字复接就是实现这种数字信号合并的专门技术,从而大大减小了体积。在本设备中,SCU单元依据VPI/VCI三扇区ATM对发往RNC的数据进行复接。
在复接过程中系统可配置最大3扇区和4载波,Iub接口采用ATM适配层(STM-1/AAL2/AAL5)。主SCU与RNC之间连接为ATM AAL2/AAL5 SVC方式。
              如图1所示在复接过程中SCU单元完成如下任务:●读取RXU的数据,封装成完整的传输信道格式发送给上层主SCU,传输给RNC●将传输信道数据以10ms周期包发送给TXU板并从RXU读取消息。●对各单元板:RXU、TXU进行错误检测、告警和主备切换控制。●依据VPI/VCI三扇区ATM复接
现有技术是由每个SCU板上都设计专用ATM物理层芯片和光收发器件,SCU板和BCU间用光接口连接,由BCU用另一个光接口连接到无线网络控制器(RNC)端。这样,每个SCU板都需要专用ATM物理层芯片和光收发器件,成本很高,控制复杂。
本发明系统设计考虑未来商用化设备多载波、多扇区应用情况,平台的CPU处理能力保留有很大的余量(总数大于300Mips),保证3GPP复杂的协议实现及满信道板配置时的软件实现。本发明的目的就是三个SCU单元共享一个ATM模块传输,共用ATM物理层芯片PM5350和光收发芯片HP5205,以此减小芯片占用板子的空间,同时大大降低了成本。利用板上的FPGA完成用户期望的AAL拆装子层的功能还可以减少一些芯片数量和功耗,同时降低成本,提高了稳定性和可靠性。
UTOPIA在SCU发送中用到的信号是:
TCLK:The transmit byte clock发送时钟
TWRENB:is used to initiate writes to the transmit FIFO.发送使能
TSOC:The receive start of cell表明发送CELL的第一个字节的开始
TCA:The receive cell available表明可以发送
复接发送时,每个SCU内的FPGA计算帧内的SLOT数,属于自己的时隙,可以发送,独享UTOPIA总线,相当于多个信道复接到总线上。在复接时FPGA测试到PM5350的TCA有效,表明可以发送。由它产生TWRENB发送使能信号,在第一个字节时产生TSOC信号。如果本时隙没有发送完,在本SCU的下一个时隙发送。这样就可以三个SCU单元分时复用UTOPIA总线来发送。发送的速率是19.44M。这样,三个扇区在FPGA的程序控制下,在发往RNC端的ATM数据时,依据VPI/VCI三扇区ATM进行复接。由于在时间分时复用,大大提高了ATM成帧芯片和光收发器件的利用率。
复接时序如图2所示。
本发明的方法是按以下方案实现的:
工作中,在处理ATM信元的接收和发送的方式有所不同。接收ATM信元的时候,每个SCU板只处理和自己扇区有关的ATM信元。接收数据输入FPGA,提取帧头后,根据不同的VPI/VCI来区分不同扇区的信元,如果不是本SCU板的数据,就丢弃,否则就存入先入先出存储器(FIFO)内。发送ATM信元的时候,依靠不同的时隙来发送不同扇区的ATM信元。ATM信元可以直接在FPGA中处理,如果牵扯到比较复杂的ATM适配层的处理(如AAL2),可以通过基站总控单元BCU来处理。ATM模块的接口采用PMC-SIERRA公司ATM物理层芯片PM5350。由于ATM总线的数据速率比较快(可以达到155MBPS),所以采用三个扇区共享一个ATM模块。三个SCU单元通过ATM通用测试维护物理接口(UTOPIA Universaltest & operations PHY interface for ATM)总线共享,依据VPI/VCI区分不同扇区的SCU。
ATM的CELL单元格式如图3所示
分段与重组子层(SAR)与ATM层之间的接口即UTOPIA接口。UTOPIA接口主要包括以下信号:
发送、接收参考时钟(RFCLK,TFCLK),8bits接收数据线(RDAT),8bits发送数据线(TDAT),一位接收校验(RXPRTY),一位发送校验(TXPRTY),接收读使能(RRDENB),发送写使能(TWRENB),接收信元buffer写允许(RCA),发送信元buffer写允许(TCA),接收信元开始(RSOC),发送信元开始(TSOC)。
FPGA完成的工作包括对UTOPIA总线的读写时序控制,完成对信元的拆装和重组。ATM模块的接口采用PMC-SIERRA公司ATM物理层芯片PM5350。由于ATM总线的数据速率比较快(可以达到155MBPS),所以采用三个扇区共享一个ATM模块。三个SCU单元通过UTOPIA总线共享,依据VPI/VCI区分不同扇区的SCU。正常工作时的收发数据和读写控制信号由FPGA控制,进行ATM的信元处理和打包。
三个SCU单元共享一个ATM模块传输,(如图4)在处理ATM信元的接收和发送的方式有所不同。接收ATM信元的时候,每个SCU板只处理和自己扇区有关的ATM信元。接收数据输入FPGA,提取帧头后,根据不同的VPI/VCI来区分不同扇区的信元,如果不是本SCU板的数据,就丢弃,否则就存入FIFO内。发送ATM信元的时候,依靠不同的时隙来发送不同扇区的ATM信元。ATM信元可以直接在FPGA中处理,也可以在MPC860里处理,如果牵扯到比较复杂的ATM适配层的处理(如AAL2),可以通过基站总控单元BCU来处理。
本设计采用UTOPIA BUS实现多SCU共享ATM成帧收发模块,多UTOPIA总线的时序如图4所示,利用了ATM复用电路和PM5350内部的两重FIFO来实现总线共享。发送采用固定时隙分配给各个SCU,如第1、4、7、10、13时隙分配给SCU1发送,时隙的计数由FPGA完成。这样就可以三个SCU单元分时复用UTOPIA总线来发送。接收ATM信元时,三个SCU单元同时接收,当接收开始时,提取ATM的信元(CELL)的帧头,分析VPI/VCI来识别是否是发往本SCU单元的数据。每一个SCU单元都有自己相应的VPI/VCI,以此相互区分。因此FPGA可以提取出CELL中的帧头,(注ATM的CELL单元格式如图3所示)如果是本单元的数据,则把数据存入FPGA中的FIFO中,如果不是本单元的数据,则把接收的CELL帧头丢弃。这样就可以三个SCU单元同时复用UTOPIA总线来接收。
采用UTOPIA BUS实现多SCU共享ATM成帧收发如图4所示
FPGA内部实现一个4k的接收FIFO,一个4k的发送FIFO,以便对发送、接收数据进行缓存,完成MPC860速度较慢的总线和PM5350的高速总线的接口。
FPGA采用UTOPIA BUS对ATM物理层芯片成帧收发如图5所示
ATM物理层芯片PM5350的发送时序如图6所示
程序说明:在FPGA中,做一个4k的发送FIFO
    component tx_fi fo_4k

       PORT
       (

           data     :IN STD_LOGIC_VECTOR(7 DOWNTO 0);

           wrreq    :IN STD_LOGIC;

           rdreq    :IN STD_LOGIC;

           rdclock  :IN STD_LOGIC;

           wrclock  :IN STD_LOGIC;

           aclr     :IN STD LOGIC:=’0’;
           q        :OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

           rdempty  :OUT STD_LOGIC;
           rdusedw  :OUT STD_LOGIC_VECTOR(11 DOWNTO 0);

           wrfull   :OUT STD_LOGIC;

           wrusedw  :OUT STD_LOGIC_VECTOR(11 DOWNTO 0)

         );

    end component;
程序说明:在FPGA中4k的发送FIFO和CPU连接关系
   tx_fifo_4k_inst:tx_fifo_4k PORT MAP

    (

           data   =>Datain_CPU,

           wrreq  =>WRreq_CPU,

           rdreq  =>RDreq_ATM,

           rdclock=>clk_ATM,

           wrclock=>clk_CPU,

           aclr   =>fifoReset,

           q      =>td,

           rdempty=>RDempty_ATM,

           rdusedw=>RDusedw ATM,

           wrfull =>WRfull_CPU,

           wrusedw=>WRusedw_CPU

        );
程序说明:SCU1的FPGA中在时隙1发送;SCU2的FPGA中在时隙2发送;SCU3的FPGA中在时隙3发送。每个SCU的FPGA在内部记数,根据帧同步信号(FRAME_SYN)和时隙同步信号(SLOT_SYN)来确定自己的时隙,
如果是自己的时隙,则置自己的reg_slot=’1’,在完成了本次发送后,置reg_slot=’0’。
    ------------ATM read from tx_FIFO write to PM5350---------------

    process(clk_ATM,fifoReset)
        <!-- SIPO <DP n="5"> -->
        <dp n="d5"/>
begin
  if(fifoReset=’1’) then

         tx_cell_flag  <=’0’;

      elsif(clk_ATM’event and clk_ATM=’1’) then

          if(reg_slot = ’1’and RDusedw_ATM  >=″000000110101″and

             tx_cell_flag=’0’)then

             tx_cell_flag<=’1’;

         elsif(tx_num>=53)then

             tx_cell_flag<=’0’;

         end if;
 end if;
end process;
process(clk_ATM,fifoReset)
begin
 if(fifoReset=’1’) then

       tx_num <=0;

    elsif(clk_ATM’event and clk_ATM=’1’) then

       if(tx_num>=53) then

          tx_num<=0;

       elsifRDreq_ATM =’1’) then

          tx_num<=tx_num+1;

       end if;
 end if;
end process;
process(clk_ATM,fifoReset)
begin
 if(fifoReset=’1’)then

      tsoc <=’0’;
   elsif(clk_ATM’event and clk_ATM=’1’) then

      if(tx_num=0 and RDreq_ATM =’1’) then

         tsoc <=’1’;

      else

         tsoc <=’0’;

      end if;
 end if;
end process;
process (clk_ATM,fifoReset)
begin
 if(fifoReset=’1’) then

      RDreq_ATM <=’0’;
        <!-- SIPO <DP n="6"> -->
        <dp n="d6"/>
          elsif(clk_ATM event and clk_ATM=’1’) then

             if(tx_num<52 and tx_cell_flag=’1’and tca=’1’) then
 
                RDreq_ATM <=’1’;

             else

                RDreq_ATM <=’0’;

             end if;

     end if;

    end process;

    process(clk_ATM,fifoReset)

    begin

      if(fifoReset=’1’) then

            TWRENB <=’1’;

         elsif(clk_ATM’event and clk_ATM=’1’) then

            if(tx_num>=0 and tx_num<=52 and RDreq_ATM =’1’ and tca=’1’)

    then

               TWRENB<=’0’;

           else

               TWRENB<=’1’;

               reg_slot=’0’

           end if;

      end if;
end process;
●  依据VPI/VCI三扇区ATM分接部分设计
UTOPIA在SCU接收中用到的信号是:
RFCLK:is used to read ATM cells from the receive FIFO接收时钟
RRDENB:is used to initiate reads from the receive FIFO接收使能
RSOC:The receive start of cell表明CELL的第一个字节的开始
RCA:The receive cell available表明CELL已经存于FIFO中可以接收
在分接过程中SCU单元完成如下任务:●  读取RNC的数据,解析后封装成完整的传输信道格式发送给TXU●  将传输信道数据以10ms周期包发送给TXU板并从RXU读取消息。●  对各单元板:RXU、TXU进行错误检测、告警和主备切换控制。●  依据VPI/VCI三扇区ATM分接
接收时,主SCU测试到PM5350的RCA有效,表明CELL已经存于FIFO中可以接收,由它产生RRDENB接收使能信号,两个从SCU同时可以测试到RCA、RRNENB信号,则在共同的RFCLK接收时钟的速率下,接收CELL,提取帧头,解析VPI/VCI来识别是否是发往本SCU单元的数据。如果是本单元的数据,则把数据存入FPGA中的FIFO中,如果不是本单元的数据,则把接收的CELL丢弃。接收的速率是19.44M。
这样,三个扇区在FPGA的程序控制下,在接收来自RNC端的ATM数据时,依据VPI/VCI三扇区ATM进行分接。由于在时间上是同时接收,所以对于每个单盘的接收峰值速率都是19.44M字节,不会降低主CPU处理的时间。
FPGA内的接收FIFO为4K,ATM控制逻辑单元查询PM5350内的接收FIFO和FPGA内的接收FIFO。如果FPGA内的接收FIFO未满,PM5350内的接收FIFO有信元,则开始接收PM5350内的信元。
ATM物理层芯片PM5350的接收时序如图7所示
程序说明:在FPGA中,做一个4k的接收FIFO
    component rx_fifo_4k

       PORT

       (

          data     :IN STD_LOGIC_VECTOR(7 DOWNTO 0);

          wrreq    :IN STD_LOGIC;

          rdreq    :IN STD_LOGIC;

          rdclock  :IN STD_LOGIC;

          wrclock  :IN STD_LOGIC;

          aclr     :IN STD_LOGIC :=’0’;

          q        :OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

          rdempty  :OUT STD_LOGIC;

          rdusedw  :OUT STD_LOGIC_VECTOR(11 DOWNTO 0);

          wrfull   :OUT STD_LOGIC;

          wrusedw  :OUT STD_LOGIC_VECTOR(11 DOWNTO 0)

        );

    end component;
程序说明:在FPGA中4k的接收FIFO和CPU连接关系
    rx_fifo_4k_inst:rx_fifo_4k PORT MAP

    (

          data   =>rd,

          wrreq  =>WRreq_ATM,

          rdreq  =>RDreq_CPU,

          rdclock=>clk_CPU,

          wrclock=>clk_ATM,

          aclr   =>fifoReset,

          q      =>Dataout_CPU,
        <!-- SIPO <DP n="8"> -->
        <dp n="d8"/>
          rdempty =>RDempty_CPU,

          rdusedw =>RDusedw_CPU,    

          wrfull  =>WRfull_ATM,

          wrusedw =>WRusedw_ATM

       );
程序说明:SCU1的FPGA中在监测到PMC5350的FIFO中存入了完整的ATM信元,并且FPGA中的FIFO没有满,则由SCU1来置reg_RRDENB,并且发起读数据使能,其他的SCU板监测到PMC5350的RRDENB使能,则同时开始记数,一起在同一时钟的频率下接收。在接受到VPI/VCI和自己固化的值相同时,则继续接收完整的CELL,如果接收的VPI/VCI和自己固化的值不同时,则停止接收,并把自己内部FIFO的指针减去4个字节,即去掉刚接收的信元头。
程序说明:SCU1(主SCU)的FPGA中程序,由它来发起接收,先置reg_RRDENB标志,再令RRDENB使能,即程序中的WRreq_ATM<=’1’;
    process(clk_ATM,fifoReset)

    begin

     if( fifoReset=’1’)then

           reg_RRDENB  <=’1’;

         elsif(clk_ATM’event and clk_ATM=’1’)then

           if(rx_num<52 and rx cell_flag=’1’and rca=’1’)then

              reg_RRDENB  <=’0’;

           else

              reg_RRDENB  <=’1’;

           end if;

     end if;

    end process;

    process(clk_ATM,fifoReset)

    begin

     if(fifoReset=’1’)then

          WRreq_ATM  <=’0’;

        elsif(clk_ATM’event and clk_ATM=’1’)then

          if((rx_num>52 and rx_num<=55)or

          (rx_num>=0 and rx_num<=52 and reg_RRDENB=’0’and rca=’1’))

       then

              WRreq_ATM<=’1’;

           else

              WRreq_ATM<=’0’;

           end if;

     end if;
end process;
程序说明:其他的SCU板监测到PMC5350的RRDENB使能,则同时开始记数,一起在同一时钟的频率下接收。在接受到VPI/VCI和自己固化的值相同时,则继续接收完整的CELL,如果接收的VPI/VCI和自己固化的值不同时,则停止接收,并把自己内部FIFO的指针减去4个字节,即去掉刚接收的信元头。
    process(clk_ATM,fifoReset)

    begin

     if(fifoReset=’1’)then

           rx_cell_flag <=’1’;

         elsif(clk_ATM’event and clk_ATM=’1’)then

           if(WRusedw_ATM <=″111101101000″and rx_cell_flag=’0’)then

              rx_cell_flag<=’1’;

           elsif(rx_num>=53) then

              rx_cell_flag<=’0’;

           end if;
     end if;

    end process;

    process(clk_ATM,fifoReset)

    begin

     if(fifoReset=’1’) then

           rx_num <=0;

       elsif(clk_ATM’event and clk_ATM=’1’)then

           if(rx_num>=53) then

              rx_num<=0;
 
           elsif(reg_RRDENB=’0’or rx_num>=53) then

              rx_num<=rx_num+1;

           end if;

     end if;

    end process;
本发明有益效果:在整个设计中,本发明提供的利用FPGA完成对ATM时序控制的方法有效的利用了可编程逻辑芯片所固有的灵活性,降低成本,减少电路板上芯片数量。另外由于没有使用专用芯片,可以减少软件软员一定的编写驱动程序的工作。由于灵活性高,可以对数据总线宽度和数据速率进行调整和改变。
(四)附图说明:
图1为BTS中SCU和BCU在系统中的功能及接口
图2为复接时序:三个SCU在系统中利用UTOPIA总线共用ATM接口
图3为ATM的CELL单元格式
图4为采用UTOPIA BUS实现多SCU共享ATM成帧收发
图5为FPGA采用UTOPIA BUS对ATM物理层芯片成帧收发
图6为ATM物理层芯片PM5350的发送时序
图7为ATM物理层芯片PM5350的接收时序
(五)具体实施方式:
CPU芯片选用的是由Motorola公司生产MPC860。由PowerPC核,SIU和通信专用处理器CPM三部分组成。由于采用PowerPC核,在66MHz工作频率下,处理速率高达80MIPS。该芯片采用32位数据总线,32根地址总线;SIU可对几乎所有类型的存储器进行控制;CPM提供4组独立的16比特计数器,17根外部中断源,17个内部中断源,可支持16个串行DMA通道,2个TDM通道,可实现E1速率接口或ATM接口,支持HDLC协议,适用于基站控制。
如果采用MPC860的通信控制器,则对软件的开销很大。因此采用ATM SAR芯片MP5350来做ATM的SAR功能。使它和EPM20K200来共同处理,对以后的硬件处理ATM的提供了很大的灵活性。
可编程器件的选择的是APEX EP20K200 RC240-3,作为CPU的协处理器。EP20K200RC240-3:是Altera公司的产品,工业界第一个单系统级可编程芯片,采用多核结构,将查找表、乘积项逻辑、嵌入式存储器集成在一块可编程芯片之中,其中嵌入式存储器可以配置成FIFO、双口RAM或Content AddressableMemory(CAM)。容量:最大52.6万可用门、8320逻辑单元、106496位内嵌存储器、640乘积项宏单元。功耗:2.5V内核电压;1.8V、2.5V或3.3VI/O电压(可选);内嵌存储器可工作于节电模式。时钟:最多8个全局时钟输入、内置锁相环电路,可提供可编程的时钟倍频、相位延迟等。I/O:支持一系列的I/O标准,如PCI、LVDS、GTL、AGP等。物理层层芯片采用PMC公司的PM5350,收发模块采用HP的单模光收发模块HFCT5205。

Claims (4)

1.依据VPI/VCI三扇区ATM复接的方法,利用了FPGA和ATM模块实现了复接功能,其特征在于按照以下流程步骤进行:
(1)每块SCU板内的FPGA计算帧内的时隙数;
(2)等到属于自己的时隙时,可以发送数据,独享UTOPIA总线,相当于多个信道
   复接到总线上;
(3)复接时FPGA测试到PM5350的TCA有效,表明可以发送;
(4)由FPGA产生TWRENB发送使能信号;
(5)在第一个字节时产生TSOC信号。
2.根据权利要求1的依据VPI/VCI三扇区ATM复接的方法,其特征在于所述的发送速率为19.44M字节。
3.依据VPI/VCI三扇区ATM分接的方法,利用了FPGA和ATM模块实现了分接功能,其特征在于按照以下流程步骤进行:
(1)接收ATM信元时,三个SCU单元同时接收ATM信元;
(2)当接收开始时提取ATM信元的帧头;
(3)根据提取ATM的信元的帧头,分析VPI/VCI来识别是否是发往本SCU单元的数
   据,每一个SCU单元都有自己相应的VPI/VCI,以此相互区分;
(4)主SCU板中的FPGA测试到PM5350的RCA有效,表明CELL已经存于FIFO中可
   以接收;
(5)FPGA产生RRDENB接收使能信号;
(6)两个从SCU同时可以测试到RCA、RRNENB信号,则在共同的接收时钟的速率
   下,接收ATM信元;
(7)如果是本单元的数据,则把数据存入FPGA中的FIFO中;
(8)如果不是本单元的数据,则把接收的CELL帧头丢弃。
4.根据权利要求3的依据VPI/VCI三扇区ATM分接的方法,其特征在于所述的接收速率为19.44M字节。
CNB011367164A 2001-10-22 2001-10-22 依据vpi/vci三扇区atm复接/分接的方法 Expired - Fee Related CN1142661C (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNB011367164A CN1142661C (zh) 2001-10-22 2001-10-22 依据vpi/vci三扇区atm复接/分接的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB011367164A CN1142661C (zh) 2001-10-22 2001-10-22 依据vpi/vci三扇区atm复接/分接的方法

Publications (2)

Publication Number Publication Date
CN1347229A CN1347229A (zh) 2002-05-01
CN1142661C true CN1142661C (zh) 2004-03-17

Family

ID=4673855

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB011367164A Expired - Fee Related CN1142661C (zh) 2001-10-22 2001-10-22 依据vpi/vci三扇区atm复接/分接的方法

Country Status (1)

Country Link
CN (1) CN1142661C (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7515598B2 (en) * 2002-10-29 2009-04-07 Intel Corporation Configurable transmit and receive system interfaces for a network device
CN101227230B (zh) * 2008-01-08 2012-09-05 中兴通讯股份有限公司 Lte tdd系统的特殊子帧内特殊时隙的配制方法和装置
CN116346231B (zh) * 2023-05-29 2023-08-11 杭州飞畅科技有限公司 一种基于以太网phy芯片的多路e1数据传输系统和方法

Also Published As

Publication number Publication date
CN1347229A (zh) 2002-05-01

Similar Documents

Publication Publication Date Title
US8934890B2 (en) Transmission of data bursts on a constant data rate channel
JP3210906B2 (ja) Atm通信網とそのインバースマルチプレクス方法
US6574224B1 (en) Processing communication traffic
US6628652B1 (en) Flexible telecommunications switching network
US7599372B2 (en) Apparatus for and method of integrating switching and transferring of SONET/SDH, PDH, and Ethernet signals
US4987570A (en) Methods and apparatus for performing time interleaved multiplexed rate adaptation for sub-rate channels in a digital data communication system
US4965787A (en) Methods and apparatus for multiplexing sub-rate channels in a digital data communication system
EP1131923A1 (en) Multi-protocol conversion assistance method and system for a network accelerator
CN1312993A (zh) 采用可变比特率的atm自适应层协议的基于atm的网络系统的同步
US20110125936A1 (en) Transmission of Data Bursts on a Constant Data Rate Channel
CN1111981C (zh) 具有基于atm连接方案的移动通信系统
JP3605404B2 (ja) 移動通信システムでの非同期伝送モードデータ変換装置
CN107643986A (zh) 一种使用环形Buffer和自动回收描述符的AIF接收数据处理方法
JP4083010B2 (ja) 単一の通信スイッチを経てatm、tdm及びパケットデータを交換するための方法及び装置
US7944900B2 (en) Base station modulator/demodulator and send/receive method
JPH10327138A (ja) 基地無線局と少なくとも1つの移動端末との間でフレーム同期化信号の無線伝送を行う装置
CN1142661C (zh) 依据vpi/vci三扇区atm复接/分接的方法
US6529510B1 (en) ATM switching apparatus and method thereof
KR100337641B1 (ko) 차세대 이동통신망의 교환국과 제어국간의 에이티엠 다중화/역다중화 수단 및 그 방법
JP2959448B2 (ja) 時分割多重ハイウェイのatmインタフェース装置
CN100531114C (zh) Dsl系统中对以太网数据包进行分段处理的装置
KR100369792B1 (ko) 비동기전송모드 시스템의 셀 처리 장치 및 방법
KR100810372B1 (ko) 통신 시스템의 디지털신호처리부에서 셀 처리 장치
US6970436B2 (en) Apparatus for monitoring asynchronous transfer mode cells in communication systems
US7535894B2 (en) System and method for a communication network

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee