CN113946540B - 一种dsp处理器及其判断跳转指令的处理方法 - Google Patents

一种dsp处理器及其判断跳转指令的处理方法 Download PDF

Info

Publication number
CN113946540B
CN113946540B CN202111176714.4A CN202111176714A CN113946540B CN 113946540 B CN113946540 B CN 113946540B CN 202111176714 A CN202111176714 A CN 202111176714A CN 113946540 B CN113946540 B CN 113946540B
Authority
CN
China
Prior art keywords
jump
instruction
variable
judging
current value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202111176714.4A
Other languages
English (en)
Other versions
CN113946540A (zh
Inventor
梁小江
谢柱能
蒲莉娟
李双宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shenzhen Chuangcheng Microelectronics Co ltd
Original Assignee
Shenzhen Chuangcheng Microelectronics Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shenzhen Chuangcheng Microelectronics Co ltd filed Critical Shenzhen Chuangcheng Microelectronics Co ltd
Priority to CN202111176714.4A priority Critical patent/CN113946540B/zh
Publication of CN113946540A publication Critical patent/CN113946540A/zh
Application granted granted Critical
Publication of CN113946540B publication Critical patent/CN113946540B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30094Condition code generation, e.g. Carry, Zero flag

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Executing Machine-Instructions (AREA)

Abstract

本发明公开了一种DSP处理器及其判断跳转指令的处理方法,其中该方法包括:在一流水线周期的取指阶段读取所述判断跳转指令汇编程序,其中所述判断跳转指令汇编程序包括用于存放所述判断跳转指令的第一变量的第一寄存器地址、存放第二变量的第二寄存器地址、跳转目标地址以及跳转条件,所述流水线周期至少包括取指阶段、解码阶段、执行阶段;在解码阶段,对读取的所述判断跳转指令进行解码,获得解码后的判断跳转指令信息;在所述执行阶段,根据所述解码后的判断跳转指令信息确定指令是否跳转,若跳转则将执行地址跳转至所述跳转目标地址,否则继续执行下一条指令。该方法提高了处理器的处理效率。

Description

一种DSP处理器及其判断跳转指令的处理方法
技术领域
本发明涉及指令处理技术领域,具体涉及一种DSP处理器及其判断跳转指令的处理方法。
背景技术
现有技术中,要执行判断跳转指令一般需要2个流水线周期,以C语言的if-else指令为例,如果要实现if(a<b)跳转,汇编程序一般需要编写两条指令来实现,具体如下:
其中,在上述两条指令中,第一条指令I1用于判断寄存器R0中的数据是否小于R1中的数据,并把比较结果存到标志状态寄存器中;第二条指令I2,根据标志状态寄存器来决定是否执行分支跳转。由此可见,在现有技术中,要执行一条if-else指令,需要2个流水线周期。上述方法为了实现if/else指令,程序员需要编写2条指令,占用指令空间,在有限的指令空间的情况下使得处理器能实现的功能减少,此外,由于需要2个流水线周期,使得处理速度变慢,导致处理器效率变低。
发明内容
本发明所要解决的技术问题在于,提供一种DSP处理器及其判断跳转指令的处理方法,以解决现有技术中执行一条判断跳转指令需要两个流水线周期而导致处理器效率低的问题。
为解决上述技术问题,本发明一方面提供一种DSP处理器的判断跳转指令的处理方法,包括:
在一流水线周期的取指阶段读取所述判断跳转指令汇编程序,其中所述判断跳转指令汇编程序包括用于存放所述判断跳转指令的第一变量的第一寄存器地址、存放第二变量的第二寄存器地址、跳转目标地址以及跳转条件,所述流水线周期至少包括取指阶段、解码阶段、执行阶段;
在所述解码阶段,对读取的所述判断跳转指令进行解码,获得解码后的判断跳转指令信息;
在所述执行阶段,根据所述解码后的判断跳转指令信息确定指令是否跳转,若跳转则将执行地址跳转至所述跳转目标地址,否则继续执行下一条指令。
在一具体实施方式中,所述对读取的所述判断跳转指令进行解码,获得解码后的判断跳转指令信息具体包括:
对读取的所述判断跳转指令进行解码,获得所述第一寄存器地址、第二寄存器地址、跳转条件以及跳转目标地址;
读取所述第一寄存器中所述第一变量的当前值以及读取所述第二寄存器中所述第二变量的当前值。
在一具体实施方式中,所述根据所述解码后的判断跳转指令信息确定指令是否跳转,若跳转则将执行地址跳转至所述跳转目标地址,否则继续执行下一条指令具体包括:
根据跳转条件判断所述第一变量的当前值和第二变量的当前值的对应关系,若所述第一变量的当前值与所述第二变量的当前值的对应关系满足所述跳转条件,则使能跳转标签,当跳转标签使能后,将指令执行地址跳转至所述跳转目标地址,若所述第一变量的当前值与所述第二变量的当前值的对应关系不满足所述跳转条件,则执行下一条指令。
在一具体实施方式中,所述方法还包括:在所述一流水线周期的其后连续设定个流水线周期的取指阶段读取的指令均为NOP指令。
本发明第二方面提供一种DSP处理器的判断跳转指令的处理方法,所述方法包括:
在一流水线周期的取指阶段读取所述判断跳转指令汇编程序,其中,所述判断跳转指令汇编程序包括用于存放所述判断跳转指令的第一变量的第一专用寄存器地址、第二变量的第二专用寄存器地址、跳转目标地址以及跳转条件,所述流水线周期至少包括取指阶段和解码阶段,对读取的所述判断跳转指令汇编程序进行解码,获得解码后的判断跳转指令信息,读取所述第一专用寄存器地址中的第一变量的当前值、第二专用寄存器地址中的第二变量的当前值;
在所述解码阶段,根据跳转条件判断所述第一变量的当前值和第二变量的当前值的对应关系,若所述第一变量的当前值与所述第二变量的当前值的对应关系满足所述跳转条件,则使能跳转标签,当跳转标签使能后,将指令执行地址跳转至所述跳转目标地址,若所述第一变量的当前值与所述第二变量的当前值的对应关系不满足所述跳转条件,则执行下一条指令。
本发明第三方面提供一种DSP处理器,包括:
取指单元,用于在一流水线周期的取指阶段读取所述判断跳转指令汇编程序,其中所述判断跳转指令汇编程序包括用于存放所述判断跳转指令的第一变量的第一寄存器地址、第二变量的第二寄存器地址、跳转目标地址以及跳转条件,所述流水线周期至少包括取指阶段、解码阶段、执行阶段;
解码单元,用于在解码阶段对读取的所述判断跳转指令进行解码,获得解码后的判断跳转指令信息;
执行单元,用于在执行阶段根据所述解码后的判断跳转指令信息确定指令是否跳转,若跳转则将执行地址跳转至所述跳转目标地址,否则不跳转;
第一寄存器,用于存储所述判断跳转指令的第一变量;
第二寄存器,用于存储所述判断跳转指令的第二变量。
在一具体实施方式中,所述解码单元具体用于:
对所述读取的所述判断跳转指令汇编程序进行解码,获得所述第一变量、第二变量、第一寄存器地址和第二寄存器地址;
读取所述第一寄存器中所述第一变量的当前值以及读取所述第二寄存器中所述第二变量的当前值。
在一具体实施方式中,所述执行单元具体用于:
根据跳转条件判断所述第一变量的当前值和第二变量的当前值的对应关系,若所述第一变量的当前值与所述第二变量的当前值的对应关系满足所述跳转条件,则使能跳转标签,当跳转标签使能后,将指令执行地址跳转至所述跳转目标地址,若所述第一变量的当前值与所述第二变量的当前值的对应关系不满足所述跳转条件,则执行下一条指令。
在一具体实施方式中,所述取指单元还用于在所述一流水线周期的其后连续设定个流水线周期的取指阶段读取的指令均为NOP指令。
在一具体实施方式中,所述第一寄存器和所述第二寄存器均为专用寄存器。
实施本发明实施例,具有如下的有益效果:通过在一流水线周期的取指阶段读取判断跳转指令的用于存放所述判断跳转指令的第一变量的第一寄存器地址、第二变量的第二寄存器地址、跳转目标地址以及跳转条件,然后对读取的判断跳转指令进行解码,根据解码后的指令信息判断是否满足跳转,若跳转,则跳转至对应的跳转目标地址。采用该方法,程序员只需要编写一条指令即可实现判断跳转,减少了对指令存储空间的需求,使得在有限的指令存储空间中DSP处理器能够实现更多的功能,此外,由于该方法的判断跳转指令只需要在一个流水线周期中执行完毕,使得DSP处理器的处理速度增快,提高了DSP处理器的处理效率。
附图说明
为了更清楚地说明本发明实施例或现有技术中的技术方案,下面将对实施例或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动性的前提下,根据这些附图获得其他的附图仍属于本发明的范畴。
图1示出了本发明实施例一的一种DSP处理器的判断跳转指令的处理方法的流程示意图;
图2示出了本发明实施例一的一种DSP处理器的判断跳转指令的处理方法的流水线示意图;
图3示出了本发明实施例一的一种DSP处理器的判断跳转指令的处理方法的流程示意图;
图4示出了本发明实施例二的一种DSP处理器的判断跳转指令的处理方法的流程示意图;
图5示出了本发明实施例二的一种DSP处理器的判断跳转指令的处理方法的示意图;
图6示出了本发明实施例三的一种DSP处理器的结构示意图。
具体实施方式
为使本发明的目的、技术方案和优点更加清楚,下面将结合附图对本发明作进一步地详细描述。
如图1所示,本发明实施例一提供一种DSP处理器的判断跳转指令的处理方法,结合图2-3,该方法包括如下步骤:
S11、在一流水线周期的取指阶段读取所述判断跳转指令汇编程序,其中所述判断跳转指令汇编程序包括用于存放所述判断跳转指令的第一变量的第一寄存器地址、第二变量的第二寄存器地址、跳转目标地址以及跳转条件,所述流水线周期至少包括取指阶段、解码阶段、执行阶段。
其中,一流水线周期至少包括取指阶段、解码阶段、执行阶段,还可以包括回写阶段,执行阶段包括第一执行阶段和第二执行阶段。如图2所示,示出了四级流水线周期的示意图。
其中,该判断跳转指令的汇编程序为:IF Rx,Ry,TAG,CNDF。结合C程序的if(a<b)来说明,其中,第一寄存器Rx用于存放第一变量a的值,第二寄存器Ry用于存放第二变量b的值,CNDF表示C程序中的条件,TAG为跳转目标地址。
S12、在解码阶段,对读取的所述判断跳转指令进行解码,获得解码后的判断跳转指令信息。
对所述读取的所述判断跳转指令汇编程序进行解码,获得所述第一寄存器地址、第二寄存器地址、判断跳转条件以及跳转目标地址,读取所述第一寄存器中所述第一变量的当前值以及读取所述第二寄存器中所述第二变量的当前值。
S13、在所述执行阶段,根据所述解码后的判断跳转指令信息确定指令是否跳转,若跳转则将执行地址跳转至所述跳转目标地址,否则继续执行下一条指令。
根据跳转条件判断所述第一变量的当前值和第二变量的当前值的对应关系,若所述第一变量的当前值与所述第二变量的当前值的对应关系满足所述跳转条件,则使能跳转标签,当跳转标签使能后,将指令执行地址跳转至所述跳转目标地址,若所述第一变量的当前值与所述第二变量的当前值的对应关系不满足所述跳转条件,则执行下一条指令。
本发明实施例一的一种DSP处理器的判断跳转指令的处理方法,通过在一流水线周期的取指阶段读取判断跳转指令的用于存放所述判断跳转指令的第一变量的第一寄存器地址、第二变量的第二寄存器地址、跳转目标地址以及跳转条件,然后对读取的判断跳转指令进行解码,根据解码后的指令信息判断是否满足跳转,若跳转,则跳转至对应的跳转目标地址。采用该方法,程序员只需要编写一条指令即可实现判断跳转,减小了对指令存储空间的需求,使得在有限的指令存储空间中DSP处理器能够实现更多的功能,此外,由于该方法的判断跳转指令只需要在一个流水线周期中执行完毕,使得DSP处理器的处理速度增快,提高了DSP处理器的处理效率。
所述方法还包括:在所述一流水线周期的其后连续设定个流水线周期的取指阶段读取的指令均为NOP指令。
表1 指令执行过程
如表1所示,一共包括依次执行的四条指令I1、I2、I3和I4,其中,I1为上述的判断跳转指令,在if判断跳转指令的流水线周期的指令执行2分支跳转时刻为t4时刻,此时已经将I2、I3、I4指令加载到流水线上,即会存在三个延时槽,无论if指令是否执行跳转,这三条指令都会执行。为了减少汇编程序员或者C编译器的工作量,将判断跳转指令I1后的连续设定条指令设置为NOP指令。优选地,将判断跳转指令I1后的I2指令、I3指令以及I4指令设置为空指令。
本发明实施例二提供一种判断跳转指令的处理方法,如图4-5所示,该方法包括:
S21、在一流水线周期的取指阶段读取所述判断跳转指令汇编程序,其中,所述判断跳转指令汇编程序包括用于存放所述判断跳转指令的第一变量的第一专用寄存器地址、第二变量的第二专用寄存器地址、跳转目标地址以及跳转条件,所述流水线周期至少包括取指阶段和解码阶段,对读取的所述判断跳转指令汇编程序进行解码,获得解码后的判断跳转指令信息,读取所述第一专用寄存器地址中的第一变量的当前值、第二专用寄存器地址中的第二变量的当前值。
优选地,采用4个专用寄存器来存储循判断转指令中的第一变量和第二变量,4个专用寄存器均采用硬连线方式连接,以避免读取通用寄存器时存在多级选择器所带来的时序问题。
S22、根据跳转条件判断所述第一变量的当前值和第二变量的当前值的对应关系,若所述第一变量的当前值与所述第二变量的当前值的对应关系满足所述跳转条件,则使能跳转标签,当跳转标签使能后,将指令执行地址跳转至所述跳转目标地址,若所述第一变量的当前值与所述第二变量的当前值的对应关系不满足所述跳转条件,则执行下一条指令。
本发明实施例的DSP处理器的判断跳转指令的处理方法,采用专用寄存器来存储判断跳转指令,程序员只需要编写一条指令即可实现判断跳转,减少了对指令存储空间的需求,进而在有限的指令存储空间中DSP处理器能够实现更多的功能,由于该方法的判断跳转指令只需要在一个流水线周期中执行完毕,使得DSP处理器的处理速度增快,提高了DSP处理器的处理效率,此外,在解码阶段,如果发生判断跳转指令的跳转,则可以将跳转目标地址加载在取指地址中,这样跳转指令在跳转后就不再需要延时槽,更进一步提高了处理器的效率。
基于本发明实施例一,本发明实施例三提供一种DSP处理器,如图6所示,该DSP处理器10包括取指单元1、解码单元2、执行单元3,其中,取指单元1用于在一流水线周期的取指阶段读取所述判断跳转指令汇编程序,其中所述判断跳转指令汇编程序包括用于存放所述判断跳转指令的第一变量的第一寄存器地址、第二变量的第二寄存器地址、跳转目标地址以及跳转条件,所述流水线周期至少包括取指阶段、解码阶段、执行阶段;解码单元2用于在解码阶段对读取的所述判断跳转指令进行解码,获得解码后的判断跳转指令信息,执行单元3用于在执行阶段根据所述解码后的判断跳转指令信息确定指令是否跳转,若跳转则将执行地址跳转至所述跳转目标地址,否则执行下一条指令;第一寄存器4用于存储所述判断跳转指令的第一变量;第二寄存器5用于存储所述判断跳转指令的第二变量。
其中,所述解码单元3具体用于根据跳转条件判断所述第一变量的当前值和第二变量的当前值的对应关系,若所述第一变量的当前值与所述第二变量的当前值的对应关系满足所述跳转条件,则使能跳转标签,当跳转标签使能后,将指令执行地址跳转至所述跳转目标地址,若所述第一变量的当前值与所述第二变量的当前值的对应关系不满足所述跳转条件,则执行下一条指令。
其中,所述执行单元具体用于判断所述第一变量的当前值和所述第二变量的当前值是否满足所述跳转条件,若满足,则将执行地址跳转至所述目标地址,否则继续执行。
其中,所述取指单元还用于在所述一流水线周期的其后连续设定个流水线周期的取指阶段读取的指令均为NOP指令。
其中,所述第一寄存器和所述第二寄存器均为专用寄存器。
以上所揭露的仅为本发明一种较佳实施例而已,当然不能以此来限定本发明之权利范围,因此依本发明权利要求所作的等同变化,仍属本发明所涵盖的范围。

Claims (6)

1.一种DSP处理器的判断跳转指令的处理方法,其特征在于:包括:
一流水线周期至少包括取指阶段、解码阶段和执行阶段;
在所述取指阶段读取判断跳转指令汇编程序,其中,该判断跳转指令汇编程序为:IFRx,Ry,TAG,CNDF;其中,第一寄存器Rx用于存放第一变量的值,第二寄存器Ry用于存放第二变量的值,TAG为跳转目标地址,CNDF表示跳转条件;所述第一寄存器和所述第二寄存器均为专用寄存器,专用寄存器均采用硬连线方式连接;
在所述解码阶段,对读取的所述判断跳转指令汇编程序进行解码,获得解码后的判断跳转指令信息;
在所述执行阶段,根据跳转条件判断所述第一变量的当前值和第二变量的当前值的对应关系,若所述第一变量的当前值与所述第二变量的当前值的对应关系满足所述跳转条件,则使能跳转标签,当跳转标签使能后,将指令执行地址跳转至所述跳转目标地址,若所述第一变量的当前值与所述第二变量的当前值的对应关系不满足所述跳转条件,则执行下一条指令。
2.根据权利要求1所述的方法,其特征在于,所述对读取的所述判断跳转指令汇编程序进行解码,获得解码后的判断跳转指令信息具体包括:
对读取的所述判断跳转指令汇编程序进行解码,获得所述第一寄存器地址、第二寄存器地址、跳转条件以及跳转目标地址;
读取所述第一寄存器中所述第一变量的当前值以及读取所述第二寄存器中所述第二变量的当前值。
3.根据权利要求2所述的方法,其特征在于,所述方法还包括:在所述一流水线周期的其后连续设定3个流水线周期的取指阶段读取的指令均为NOP指令。
4.一种DSP处理器,其特征在于,包括:
一流水线周期至少包括取指阶段、解码阶段和执行阶段;
取指单元,用于在所述取指阶段读取判断跳转指令汇编程序,其中,该判断跳转指令汇编程序为:IF Rx,Ry,TAG,CNDF;其中,第一寄存器Rx用于存放第一变量的值,第二寄存器Ry用于存放第二变量的值,TAG为跳转目标地址,CNDF表示跳转条件;所述第一寄存器和所述第二寄存器均为专用寄存器,专用寄存器均采用硬连线方式连接;
解码单元,用于在所述解码阶段,对读取的所述判断跳转指令汇编程序进行解码,获得解码后的判断跳转指令信息;
执行单元,用于在所述执行阶段,根据跳转条件判断所述第一变量的当前值和第二变量的当前值的对应关系,若所述第一变量的当前值与所述第二变量的当前值的对应关系满足所述跳转条件,则使能跳转标签,当跳转标签使能后,将指令执行地址跳转至所述跳转目标地址,若所述第一变量的当前值与所述第二变量的当前值的对应关系不满足所述跳转条件,则执行下一条指令。
5.根据权利要求4所述的DSP处理器,其特征在于,所述解码单元具体用于:
对所述读取的所述判断跳转指令汇编程序进行解码,获得所述第一变量、第二变量、第一寄存器地址和第二寄存器地址;
读取所述第一寄存器中所述第一变量的当前值以及读取所述第二寄存器中所述第二变量的当前值。
6.根据权利要求5所述的DSP处理器,其特征在于:
所述取指单元还用于在所述一流水线周期的其后连续设定3个流水线周期的取指阶段读取的指令均为NOP指令。
CN202111176714.4A 2021-10-09 2021-10-09 一种dsp处理器及其判断跳转指令的处理方法 Active CN113946540B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202111176714.4A CN113946540B (zh) 2021-10-09 2021-10-09 一种dsp处理器及其判断跳转指令的处理方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202111176714.4A CN113946540B (zh) 2021-10-09 2021-10-09 一种dsp处理器及其判断跳转指令的处理方法

Publications (2)

Publication Number Publication Date
CN113946540A CN113946540A (zh) 2022-01-18
CN113946540B true CN113946540B (zh) 2024-03-22

Family

ID=79329422

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111176714.4A Active CN113946540B (zh) 2021-10-09 2021-10-09 一种dsp处理器及其判断跳转指令的处理方法

Country Status (1)

Country Link
CN (1) CN113946540B (zh)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5357617A (en) * 1991-11-22 1994-10-18 International Business Machines Corporation Method and apparatus for substantially concurrent multiple instruction thread processing by a single pipeline processor
US6678819B1 (en) * 1997-11-03 2004-01-13 Inside Technologies Pipeline microprocessor with conditional jump in one clock cycle
CN103218206A (zh) * 2012-01-18 2013-07-24 上海算芯微电子有限公司 指令分支的预跳转方法和系统
CN105975252A (zh) * 2016-04-29 2016-09-28 龙芯中科技术有限公司 一种处理指令的流水线的实现方法、装置及处理器
WO2018059337A1 (zh) * 2016-09-28 2018-04-05 华为技术有限公司 数据处理装置和方法
CN109725943A (zh) * 2018-12-27 2019-05-07 龙芯中科技术有限公司 一种程序跳转方法、装置、电子设备及存储介质
CN112230992A (zh) * 2019-07-15 2021-01-15 杭州中天微系统有限公司 一种包含分支预测循环的指令处理装置、处理器及其处理方法
CN113220347A (zh) * 2021-03-30 2021-08-06 深圳市创成微电子有限公司 基于多级流水线的指令处理方法、浮点型dsp以及音频设备
CN113254082A (zh) * 2021-06-23 2021-08-13 北京智芯微电子科技有限公司 条件分支指令处理方法及系统、cpu、芯片

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5357617A (en) * 1991-11-22 1994-10-18 International Business Machines Corporation Method and apparatus for substantially concurrent multiple instruction thread processing by a single pipeline processor
US6678819B1 (en) * 1997-11-03 2004-01-13 Inside Technologies Pipeline microprocessor with conditional jump in one clock cycle
CN103218206A (zh) * 2012-01-18 2013-07-24 上海算芯微电子有限公司 指令分支的预跳转方法和系统
CN105975252A (zh) * 2016-04-29 2016-09-28 龙芯中科技术有限公司 一种处理指令的流水线的实现方法、装置及处理器
WO2018059337A1 (zh) * 2016-09-28 2018-04-05 华为技术有限公司 数据处理装置和方法
CN109725943A (zh) * 2018-12-27 2019-05-07 龙芯中科技术有限公司 一种程序跳转方法、装置、电子设备及存储介质
CN112230992A (zh) * 2019-07-15 2021-01-15 杭州中天微系统有限公司 一种包含分支预测循环的指令处理装置、处理器及其处理方法
CN113220347A (zh) * 2021-03-30 2021-08-06 深圳市创成微电子有限公司 基于多级流水线的指令处理方法、浮点型dsp以及音频设备
CN113254082A (zh) * 2021-06-23 2021-08-13 北京智芯微电子科技有限公司 条件分支指令处理方法及系统、cpu、芯片

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
一种高速的条件跳转指令硬件实现;朱俊华;;计算机技术与发展(07);11-13 *
应用于DSP中CPU的八级流水线的研究与设计;陈宪;黄嵩人;;电子世界(24);130-131 *

Also Published As

Publication number Publication date
CN113946540A (zh) 2022-01-18

Similar Documents

Publication Publication Date Title
US5404552A (en) Pipeline risc processing unit with improved efficiency when handling data dependency
JP5815596B2 (ja) プロシージャリターンシーケンスを加速するための方法およびシステム
US6654871B1 (en) Device and a method for performing stack operations in a processing system
KR20080014062A (ko) 마이크로프로세서에서의 효율적인 서브프로그램 복귀
US8977837B2 (en) Apparatus and method for early issue and recovery for a conditional load instruction having multiple outcomes
US9361109B2 (en) System and method to evaluate a data value as an instruction
CN111522584B (zh) 一种硬件循环加速处理器及其执行的硬件循环加速方法
CN113946540B (zh) 一种dsp处理器及其判断跳转指令的处理方法
TWI770079B (zh) 向量產生指令
CN113946539B (zh) 一种dsp处理器及其循环跳转指令的处理方法
US20080065870A1 (en) Information processing apparatus
CN113220347B (zh) 基于多级流水线的指令处理方法、浮点型dsp以及音频设备
US7020769B2 (en) Method and system for processing a loop of instructions
JP2001306334A (ja) エミュレーション装置
JPH1091441A (ja) プログラム実行方法およびその方法を利用した装置
CN111913746A (zh) 一种低开销嵌入式处理器设计方法
US9135006B1 (en) Early execution of conditional branch instruction with pc operand at which point target is fetched
US8255672B2 (en) Single instruction decode circuit for decoding instruction from memory and instructions from an instruction generation circuit
JP2000029696A (ja) プロセッサおよびパイプライン処理制御方法
KR100961242B1 (ko) 명령어를 지연시켜 수행하는 방법 및 명령어를 지연시켜 수행하는 시스템
CN116661872A (zh) 支持同时预测连续跳转的两条非条件分支指令的预测方法及装置
WO2001082059A2 (en) Method and apparatus to improve context switch times in a computing system
CN115659115A (zh) 一种向量数据预读取方法、系统、设备及可读存储介质
KR20000003447A (ko) 무조건 분기 명령어의 수행 시간을 줄이기 위한 분기 방법
CN111026442A (zh) 一种cpu中用于消除程序无条件跳转开销的方法及装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant