CN113410176B - OLED device and manufacturing method thereof - Google Patents

OLED device and manufacturing method thereof Download PDF

Info

Publication number
CN113410176B
CN113410176B CN202010183590.1A CN202010183590A CN113410176B CN 113410176 B CN113410176 B CN 113410176B CN 202010183590 A CN202010183590 A CN 202010183590A CN 113410176 B CN113410176 B CN 113410176B
Authority
CN
China
Prior art keywords
layer
forming
contact hole
circuit substrate
insulating cover
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010183590.1A
Other languages
Chinese (zh)
Other versions
CN113410176A (en
Inventor
李晓飞
许宗能
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nexchip Semiconductor Corp
Original Assignee
Nexchip Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nexchip Semiconductor Corp filed Critical Nexchip Semiconductor Corp
Priority to CN202010183590.1A priority Critical patent/CN113410176B/en
Publication of CN113410176A publication Critical patent/CN113410176A/en
Application granted granted Critical
Publication of CN113410176B publication Critical patent/CN113410176B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/131Interconnections, e.g. wiring lines or terminals
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/1201Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/122Pixel-defining structures or layers, e.g. banks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass

Abstract

The invention provides an OLED device and a manufacturing method thereof, which can enable the original chip circuit substrate to be directly externally connected with the material of the display part of the OLED device by adding three processes of a conductive contact plug, an anode and a pixel definition layer on the basis of the original structure of the chip circuit substrate for completing the BEOL manufacturing process, so that the finally manufactured device can have an OLED display function, and an OLED display device is obtained. In addition, the structure such as the conductive contact plug, the anode and the pixel defining layer can be directly manufactured on the basis of the original structure of the chip circuit substrate for completing the BEOL manufacturing process, so that the problem that extra space is occupied by bonding wires and a sealing area when the OLED display panel and the chip circuit substrate are electrically connected together by means of a packaging process and the like can be avoided, the size of the finally manufactured OLED device is further reduced, and the OLED micro-display device is particularly suitable for manufacturing OLED micro-displays such as glasses.

Description

OLED device and manufacturing method thereof
Technical Field
The invention relates to the technical field of manufacturing of OLED devices, in particular to an OLED device and a manufacturing method thereof.
Background
An organic light emitting diode (Organic Light Emitting Diode, OLED) micro display belongs to a silicon-based display. Due to the excellent electrical characteristics and the extremely fine device size of the silicon-based device, high integration of the display IC substrate can be achieved. The manufacturing method of the general OLED micro-display is as follows: firstly, preparing display parts such as OLED light emitting units and the like directly on a silicon substrate to form an OLED display panel, preparing chip circuits and the like for controlling and driving the display parts to work on the other substrate through front End of Line (FEOL) and Back End of Line (BEOL) processes to form a chip circuit substrate, wherein the chip circuit substrate 100 is provided with a top metal interconnection Line 101, a passivation layer 102 and an aluminum bonding pad 103, the passivation layer 102 covers the top metal interconnection Line 101, and the bottom of the aluminum bonding pad 103 is inlaid in the passivation layer 102 and is contacted with the top of part of the top metal interconnection Line 101; and then, electrically connecting the OLED display panel with a drive control chip substrate by methods of film packaging, cover plate packaging and the like to finally prepare the OLED micro-display.
In the above method, on one hand, the material of the OLED display portion cannot be directly connected to the chip circuit substrate, and on the other hand, when the OLED display panel and the chip circuit substrate are electrically connected together by means of a packaging process or the like, the bonding wires and the sealing area occupy additional space, which is not beneficial to further shrinking the size of the display.
Disclosure of Invention
The invention aims to provide an OLED display device and a manufacturing method thereof, wherein the OLED display device can be directly connected with the material of an OLED display part externally on a drive control chip substrate after the structure of the drive control chip substrate is manufactured, so that the area of the finally formed display device is smaller.
In order to solve the above technical problems, the present invention provides a method for manufacturing an OLED device, including:
providing a chip circuit substrate for finishing a back-end process, wherein the chip circuit substrate is provided with a plurality of top-layer metal interconnection lines;
forming an insulating cover layer to cover the chip circuit substrate, and etching the insulating cover layer to expose the surface of the corresponding top metal interconnection line so as to form a contact hole;
forming a conductive contact plug in the contact hole;
forming a plurality of mutually separated anodes on part of the surface of the insulating cover layer, wherein the bottom of each anode is in electrical contact with the top of the corresponding conductive contact plug;
forming a patterned pixel defining layer on the surface of each of the anodes and the surrounding insulating cover layer, the pixel defining layer having a pixel opening on the upper surface of each of the anodes;
and forming an organic light-emitting layer to be filled in each pixel opening, and forming a cathode to at least cover the organic light-emitting layer so as to form an OLED light-emitting element on the chip circuit substrate.
Optionally, the chip circuit substrate further has a passivation layer, the passivation layer covers the upper surface of each top metal interconnection line and has at least one opening, each opening exposes a partial area of the corresponding top metal interconnection line, and a bonding pad is formed in each opening.
Optionally, after forming the patterned pixel defining layer and before forming the organic light emitting layer, further comprising: and opening the insulating cover layer above the bonding pad through photoetching and etching processes to expose the upper surface of the bonding pad.
Optionally, the step of forming the insulating cover layer with the contact hole on the chip circuit substrate includes:
forming a dielectric cover layer on the passivation layer and the surface of the bonding pad, and burying the bonding pad;
top planarizing the dielectric cap layer such that the dielectric cap layer has a planar upper surface;
sequentially forming a hard mask covering layer and a photoresist layer with a contact hole pattern on the surface of the dielectric covering layer, wherein the contact hole defined by the contact hole pattern is aligned with a partial region of the corresponding top-layer metal interconnection line;
and etching the insulating cover layer by taking the photoresist layer as a mask until the surface of the corresponding top-layer metal interconnection line is exposed, so as to form the contact hole.
Optionally, the step of forming the conductive contact plug in the contact hole includes:
depositing a conductive material on the surfaces of the insulating cover layer and the contact hole, wherein the deposited conductive material at least can fill the contact hole;
and carrying out chemical mechanical polishing on the deposited conductive material until the upper surface of the insulating cover layer is exposed so as to form the conductive contact plug filled in the contact hole.
Optionally, the step of forming a plurality of the anodes on the surface of the insulating cover layer includes:
forming an anode material layer to cover the surfaces of the insulating cover layer and the conductive contact plug;
forming a photoresist layer with an anode pattern on the anode material layer;
and etching the anode material layer by taking the photoresist layer as a mask to form a plurality of anodes which are positioned above each conductive contact plug and are separated from each other.
Optionally, the step of forming the patterned pixel defining layer comprises:
forming a pixel defining layer to cover the insulating cover layer and the surface of each anode;
forming a photoresist layer with a pixel defining pattern on the pixel defining layer;
and etching the pixel defining layer by taking the photoresist layer as a mask to remove redundant pixel defining layers and forming corresponding pixel openings on the upper surface of each anode.
Optionally, the contact hole is an annular contact hole, the conductive contact plug is an annular plug, and an area surrounded by the conductive contact plug is made of a light-transmitting and non-conductive material.
Based on the same inventive concept, the present invention also provides an OLED device comprising:
the chip circuit substrate is provided with a plurality of top metal interconnection lines;
the insulating cover layer covers the chip circuit substrate and is provided with a contact hole exposing part of the upper surface of the corresponding top metal interconnection line;
a conductive contact plug filled in the contact hole;
a plurality of mutually separated anodes formed on a part of the surface of the insulating cover layer, and the bottom of each anode is in contact with the top of a corresponding conductive contact plug;
a patterned pixel defining layer formed on a surface of each of the anodes and an insulating cover layer therearound, the pixel defining layer having a pixel opening on an upper surface of each of the anodes;
an organic light emitting layer filled in each of the pixel openings; the method comprises the steps of,
and a cathode at least covering the organic light emitting layer.
Optionally, in the OLED device, the chip circuit substrate further has a passivation layer, where the passivation layer covers an upper surface of each of the top metal interconnection lines and has at least one opening, each of the openings exposes a partial area of the corresponding top metal interconnection line, and a pad is formed in each of the openings; the insulating cover layer also has an opening exposing an upper surface of the pad.
Optionally, in the OLED device, the insulating cover layer includes a dielectric cover layer and a hard mask cover layer sequentially stacked on the passivation layer, and the dielectric cover layer covers surfaces of the passivation layer and the pad and has a flat upper surface.
Optionally, in the OLED device, the contact hole is an annular contact hole, the conductive contact plug is an annular plug, and an area surrounded by the conductive contact plug is a light-transmitting and non-conductive material.
Compared with the prior art, the technical scheme of the invention has the following beneficial effects:
1. based on the original structure of the chip circuit substrate with the BEOL manufacturing process, the three processes of the conductive contact plug, the anode and the pixel defining layer are added, so that the original chip circuit substrate can be directly externally connected with the material of the display part of the OLED device, and the finally manufactured device can have an OLED display function, namely the OLED display device is obtained.
2. The structure such as the conductive contact plug, the anode and the pixel defining layer can be directly manufactured on the basis of the original structure of the chip circuit substrate for completing the BEOL manufacturing process, so that the problem that extra space is occupied by bonding wires and sealing areas when the OLED display panel and the chip circuit substrate are electrically connected together by means of a packaging process and the like can be avoided, the size of the finally manufactured OLED device is further reduced, and the OLED micro-display device is particularly suitable for manufacturing requirements of OLED micro-displays such as glasses.
3. The corresponding anode and the top metal interconnection line are directly and electrically connected through the conductive contact plug filled in the contact hole, so that the thickness of the finally manufactured OLED device is further reduced, and the method is particularly suitable for manufacturing requirements of an ultrathin OLED micro-display.
4. The conductive contact plugs are annular plugs, and the areas surrounded by the conductive contact plugs are made of light-transmitting and non-conductive materials, so that light emitted by the formed OLED device can pass through the areas surrounded by the conductive contact plugs more, and the light-emitting efficiency of the formed OLED device is improved.
Drawings
FIG. 1 is a schematic cross-sectional view of a conventional BEOL processed chip circuit substrate;
FIG. 2 is a flow chart of a method of fabricating an OLED device according to an embodiment of the present invention;
fig. 3A to 3I are schematic cross-sectional views of a device in a method for manufacturing an OLED device according to an embodiment of the present invention.
Detailed Description
The technical scheme provided by the invention is further described in detail below with reference to the attached drawings and specific embodiments. The advantages and features of the present invention will become more apparent from the following description. It should be noted that the drawings are in a very simplified form and are all to a non-precise scale, merely for convenience and clarity in aiding in the description of embodiments of the invention.
Referring to fig. 2, an embodiment of the present invention provides a method for manufacturing an OLED device, including:
s1, providing a chip circuit substrate for finishing a back-end process, wherein the chip circuit substrate is provided with a plurality of top-layer metal interconnection lines;
s2, forming an insulating cover layer to cover the chip circuit substrate, and etching the insulating cover layer to expose the surface of the corresponding top metal interconnection line so as to form a contact hole;
s3, forming a conductive contact plug in the contact hole;
s4, forming a plurality of mutually separated anodes on part of the surface of the insulating cover layer, wherein the bottom of each anode is in electrical contact with the top of the corresponding conductive contact plug;
s5, forming a patterned pixel defining layer on the surface of each anode and the surrounding insulating covering layer, wherein the pixel defining layer is provided with a pixel opening on the upper surface of each anode;
s6, opening the insulating cover layer above the bonding pad through photoetching and etching processes to expose the upper surface of the bonding pad;
and S7, forming an organic light-emitting layer to be filled in each pixel opening, and forming a cathode to at least cover the organic light-emitting layer.
Referring to fig. 3A, in step S1, a chip circuit substrate is provided for performing front-end-of-line (FEOL) and back-end-of-line (BEOL), wherein the front-end-of-line (BEOL) is mainly implemented by fabricating electronic devices (not shown) such as transistors, diodes, resistors, capacitors, and inductors on a semiconductor substrate (not shown), and the specific process for forming the transistors includes: first, a device region (active area) for preparing a body tube is divided on a semiconductor substrate through a shallow trench isolation process, then the corresponding device region is converted into an N-type region and a P-type region through an ion implantation process, then a gate is manufactured on the corresponding device region through a gate-first process or a gate-last process, and then a source (source) and a drain (drain) of each transistor are formed through the ion implantation process. The back-end process is mainly used for wiring so as to realize corresponding electric connection between different electronic elements and lead out bonding pads, and the specific process comprises the following steps: forming a plurality of layers of metal interconnection lines through interlayer dielectric layer deposition, tungsten plug forming, damascene copper interconnection embedding (single damascene or dual damascene) and other processes, wherein the metal interconnection lines of different layers are connected through conductive plugs filled in the through holes; after forming the top metal interconnection lines 301a and 301b, forming a passivation layer 302 on the top metal interconnection lines 301a and 301b and the interlayer dielectric layer 300 to protect the device structures such as the top metal interconnection lines 301a and 301b, wherein the top metal interconnection line 301a is used for being electrically connected with an anode of the formed OLED light emitting element later, and the top metal interconnection line 301b is used for being led out of the bonding pad 303 later; then etching the passivation layer 302 to form at least one opening (not shown) exposing a portion of the upper surface of the corresponding top metal interconnect line 301 b; thereafter, the pads 303 are formed in the respective openings by a process such as deposition of metal such as aluminum, etching, or the like, or a process such as ball mounting.
Referring to fig. 3A and 3B, at step S2, first, a deposition process (such as various CVD, various PVD or ALD, etc.) may be used to cover the surface of the passivation layer 302 and the pad 303 with a dielectric cover layer 304, where the material of the dielectric cover layer 304 includes, for example, silicon oxide, silicon nitride, silicon oxynitride, an oxide formed of ethyl silicate (TEOS), phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), a low K dielectric material having a dielectric constant K less than 3.9, an organic insulating material (e.g., an acrylic resin, an epoxy resin, a phenolic resin, a polyamide resin, a polyimide resin, etc.), other suitable dielectric materials, or a combination thereof. Illustratively, the low-K dielectric material includes fluorosilicate glass (FSG), carbon doped silicon oxide, polyimide, and the like, and combinations thereof. The dielectric cap 304 is thick enough to fully embed the pads 303 and can subsequently provide a flat top surface that does not expose the upper surfaces of the pads 303. Then, top planarization is performed on the dielectric cap layer 304 by a Chemical Mechanical Polishing (CMP) process until the thickness and the top surface flatness of the dielectric cap layer 304 meet the requirements, so that the dielectric cap layer 304 has a flat upper surface, buries the bonding pad 303 therein, and provides a flat process platform for subsequent processes. Next, a deposition process (such as various chemical vapor deposition CVD processes, various physical vapor deposition PVD processes, or atomic layer vapor deposition ALD processes) is used to cover the hard mask cover layer 305 on the dielectric cover layer 304, and further a photoresist layer 306 is covered on the hard mask cover layer 305 by a spin coating process or the like, and the material of the hard mask cover layer 305 includes at least one of silicon oxide, silicon nitride, and silicon oxynitride, for example. The photoresist layer 306 is then patterned by a series of photolithography processes such as exposure, development, etc., to form a contact hole pattern in the photoresist layer 306, the contact hole pattern defining contact holes aligned with the corresponding partial regions of the top metal interconnection line 301 a. Then, the hard mask cover layer 305, the dielectric cover layer 304 and the passivation layer 302 are etched in sequence by using the photoresist layer 306 with the contact hole pattern as a mask until part of the upper surface of the corresponding top metal interconnection line 301a is exposed, so as to form a contact hole 307. Finally, photoresist layer 306 is removed.
In this embodiment, materials of each layer and each structure of the chip circuit substrate need to meet performance requirements of the OLED device, and particularly, reflectivity needs to be matched with light emitting performance of the OLED device.
The top metal interconnection line 301a is adaptively disposed according to the pixel array of the OLED device, where the top metal interconnection line 301a may serve as a line for electrically connecting an OLED light emitting element (i.e., a light emitting diode) formed later with an electronic element such as a corresponding transistor in the chip circuit substrate, and may serve as a reflective layer to reflect light emitted from the OLED light emitting element transferred thereto, so as to improve the light emitting efficiency of the OLED device. In addition, the contact hole pattern in the photoresist layer 306 is annular, so that an annular contact hole (i.e. a trench is surrounded around a solid post) is formed on the region of the top metal interconnection line 301a corresponding to each subsequent anode, so that the conductive contact plug formed subsequently is an annular plug, thereby ensuring the reliability of electrical connection between the anode of the OLED light-emitting element (i.e. the light-emitting diode) formed subsequently and the corresponding top metal interconnection line 301a, and reducing the shielding of the conductive contact plug on the light emitted by the OLED device, so that the corresponding light can pass through the inner region surrounded by the conductive contact plug, and further improving the light-emitting efficiency of the formed OLED device. In other embodiments of the present invention, in order to reduce the difficulty of etching the contact hole 307 and avoid the influence of polymer residues generated by the photoresist layer 306 during the process of etching the dielectric cap layer 304 and the passivation layer 302 on the morphology of the formed contact hole 307, and improve the reliability of transferring the contact hole pattern to the lower layer, the contact hole pattern in the photoresist layer 306 may be transferred to the hard mask cap layer 305 first, then the photoresist layer 306 is removed, and then the dielectric cap layer 304 and the passivation layer 302 are etched with the hard mask cap layer 305 as a mask until a part of the upper surface of the corresponding top metal interconnection line 301a is exposed, so as to form the contact hole 307.
Referring to fig. 3C, in step S3, first, the contact holes 307 may be filled with a conductive material using ALD, CVD, PVD, electroplating, electroless plating, any other suitable process or combination until each contact hole 307 is filled, and when the contact holes 307 are filled with the conductive material using ALD, CVD, PVD or the like deposition process, the deposited conductive material also covers the surface of the hard mask cover layer 305, and the deposited conductive material at least fills the contact holes 307, and further, the conductive material used to fill the contact holes 307 may include, but is not limited to, tungsten, cobalt, copper, aluminum, polysilicon, doped silicon, silicide, or any combination thereof. The deposited conductive material may then be subjected to chemical mechanical polishing until the upper surface of the hard mask cap layer 305 is exposed to form the conductive contact plug 308 filled in the contact hole 307. The bottom of each conductive contact plug 308 is in electrical contact with the top of a corresponding top level metal interconnect line 301 a.
Referring to fig. 3D and 3E, in step S4, first, an anode material layer 309 may be covered on the surfaces of the hard mask cover layer 305 and the conductive contact plug 308 by using ALD, CVD, PVD or any other suitable process, and a photoresist layer 310 may be further coated on the surface of the anode material layer 309, wherein the material of the anode material layer 309 may be a metal material such as aluminum, copper, silver, or the like, or a transparent conductive material such as Indium Tin Oxide (ITO), indium zinc Oxide (Indium Zinc Oxide, IZO), but not limited to these materials, the anode material layer 309 may be a single-layer film structure, or a multi-layer film stack structure. Then, the photoresist layer 310 is subjected to a photolithography process such as exposure, development, etc. by means of an anode mask of an OLED light emitting element (light emitting diode) to form anode patterns in the photoresist layer 310, each of which is aligned with a corresponding conductive contact plug 308. Next, the photoresist layer 310 is used as a mask to etch the anode material layer 309 to expose the surface of the hard mask cover layer 305, so as to form a plurality of anodes 309a above the conductive contact plugs 308 and separated from each other. Thereafter, the photoresist layer 310 may be removed using a conventional dry photoresist removal or wet photoresist removal process. It can be seen that the arrangement of the conductive contact plug 308 and the top metal interconnection line 301a needs to be designed based on the anode arrangement of the OLED light emitting element (light emitting diode), or that the arrangement of the conductive contact plug 308 and the top metal interconnection line 301a needs to be designed based on the arrangement of the pixel array of the OLED. It should be noted that, in other embodiments of the present invention, in order to simplify the process, a lift-off (lift-off) process may be used to manufacture the anode 309a, which is specifically: after photoresist coating, exposure and development on the hard mask cover layer 305, photoresist film with a certain pattern is used as a mask, anode materials required by photoresist evaporation are carried out, then the photoresist film is removed, and meanwhile, the redundant anode materials on the photoresist film are stripped off completely, and only the anode 309a is left on the hard mask cover layer 305. Obviously, no matter what process is used to form anode 309a, the bottom of anode 309a is higher than the top of pad 303, so that the chip circuit substrate can directly circumscribe the OLED material. In addition, the anode 309a is directly connected to the top metal interconnection line 301a through the vertical conductive contact plug 308, so that the distance is shorter, which is beneficial to the fabrication of the ultra-thin OLED device.
Referring to fig. 3F and 3G, in step S5, first, a pixel defining layer (Pixel defining layer, PDL) 311 may be covered on the hard mask cover layer 305 and the surface of each anode 309a using ALD, CVD, PVD or any other suitable process, and a photoresist layer 312 may be further coated on the surface of the pixel defining layer 311, wherein the material of the pixel defining layer 311 may be silicon oxide, silicon nitride, silicon oxynitride, or the like, but is not limited thereto. The photoresist layer 312 is then subjected to a photolithographic process, such as exposure, development, etc., with the aid of the pixel defining layer mask of the OLED device to form a pixel defining pattern in the photoresist layer 312 that can be used to define each sub-pixel location. Next, the pixel defining layer is etched to the surface where the hard mask cover layer 305 is exposed using the photoresist layer 312 having the pixel defining pattern as a mask, so as to remove the excess pixel defining layer, and a corresponding pixel opening 311b is formed on the upper surface of each of the anodes 309a. Each pixel opening 311b is used to define a corresponding sub-pixel position later, the remaining pixel defining layer 311a covers the sidewall of each anode 309a, the top area of each anode 309a except for the pixel opening 311b, and the area between adjacent anodes 309a, so as to ensure the space between the later formed pixels and insulation and isolation performance, and the remaining pixel defining layer 311a also exposes the surface of the hard mask layer 305 on the area where the bonding pad 303 is located. Thereafter, the photoresist layer 312 is removed.
Referring to fig. 3H and 3I, in step S6, first, a photoresist layer 313 is coated on the surfaces of the hard mask cover layer 305, the pixel defining layer 311a and the anode 309a, and the photoresist layer 313 is subjected to photolithography processes such as exposure and development to mask the pixel defining layer 311a and the anode 309a and expose the area where the pad 303 is located. Then, the hard mask cover layer 304 and the dielectric cover layer 305 above the bonding pad 303 are etched by using the photoresist layer 313 as a mask, and the bonding pad 303 is etched to a certain extent to form an opening 314 exposing a part of the upper surface of the bonding pad 303, and the bonding pad 303 is in a groove shape at this time, so that the contact area between the bonding pad 303 and a corresponding electrical structure (such as a cathode or a bonding wire of an OLED light emitting element, etc.) formed in the opening 314 is increased, and the reliability of the outward connection of the bonding pad 303 is enhanced. The photoresist layer 313 is then removed to re-expose the pixel defining layer 311a, the pixel opening 311b, and the anode 309a.
With continued reference to fig. 3I, in step S7, first, an organic light emitting layer (not shown) is formed in the corresponding pixel opening 311b by using a suitable process technology such as evaporation or ink jet printing, and the organic light emitting layer may be disposed on the upper surface of the pixel defining layer 311a, but the organic light emitting layer does not cover the opening 314 above the pad 303. The organic light emitting layer may include a hole injection layer, a hole transport layer, a light emitting layer, an electron transport layer, and an electron injection layer. The structure of the organic light emitting layer may be changed into various shapes generally known to those skilled in the art. Next, a cathode material layer (not shown) may be coated on the surfaces of the hard mask coating layer 305, the organic light emitting layer, and the pixel defining layer 311a by using any suitable process such as ALD, CVD, PVD, electroplating, etc., and a photoresist layer (not shown) may be further coated on the surface of the cathode material layer, wherein the material of the cathode material layer may be a metal material such as aluminum, copper, silver, etc., or a transparent conductive material such as Indium Tin Oxide (ITO), indium zinc Oxide (Indium Zinc Oxide, IZO), etc., but not limited to these materials, the cathode material layer may be a single-layer film structure, or a multi-layer film stack structure. Then, the photoresist layer is subjected to a photolithography process such as exposure, development, etc. by means of a cathode mask of an OLED light emitting element (light emitting diode) to form cathode patterns in the photoresist layer, each of which is aligned with a corresponding anode 309a. Next, the cathode material layer is etched using the photoresist layer as a mask to remove the unnecessary cathode material layer, and a cathode (not shown) corresponding to each anode 309a is formed on the surface of the organic light emitting layer. Thereafter, the photoresist layer having the cathode pattern may be removed using a conventional dry photoresist removing or wet photoresist removing process. At this time, the stacked structure between each anode 309a and the upper cathode constitutes a corresponding OLED light-emitting element. In this step, a part of the remaining cathode material layer may be filled in the opening 314, thereby bringing the bottom of the formed cathode into contact with the top of the bonding pad 303, at which time the corresponding electronic component in the chip circuit substrate can be connected to the cathode through the top metal interconnection line 301b and the bonding pad 303, that is, at which time, not only a part of the circuit in the chip circuit substrate can be used to drive and control the anode of the OLED light emitting element, but also another part of the circuit can be used to drive and control the cathode of the OLED light emitting element, whereby the chip circuit substrate can finally control and drive the OLED light emitting element to emit light. In addition, since the bonding pad 303 is in a groove shape, the contact area between the cathode and the bonding pad 303 is increased, thereby improving the reliability of the electrical connection between the chip circuit substrate and the OLED light emitting element.
Based on the same inventive concept, please refer to fig. 3A to 3I, the present embodiment further provides an OLED device manufactured by the above-mentioned method for manufacturing an OLED device, which includes: a chip circuit substrate for performing a back-end process, an insulating cap layer, conductive contact plugs 308, a plurality of anodes 309a separated from each other, a patterned pixel defining layer 311a, an organic light emitting layer (not shown), and a cathode.
The chip circuit substrate has been subjected to front end of line (FEOL) and back end of line (BEOL) with electronic components such as transistors, diodes, resistors, capacitors, inductors, etc., and further has an interlayer dielectric layer 300 and a plurality of metal interconnect layers formed in the interlayer dielectric layer 300, wherein the top metal interconnect layer has at least two types of top metal interconnect lines 301a and 301b, the top metal interconnect lines 301a are used for connecting with corresponding anodes, and the top metal interconnect lines 301b are used for extracting the pads 303. The chip circuit substrate further has a passivation layer 302, the passivation layer 302 covers the upper surface of each of the top metal interconnection lines 301a, 301b and has openings for forming the pads 303, each of the openings exposing a partial region of the corresponding top metal interconnection line 301b, and each of the openings having the pads 303 formed therein.
The insulating cover layer includes a dielectric cover layer 304 and a hard mask cover layer 305 sequentially stacked on the passivation layer 302, and the dielectric cover layer 304 covers the surfaces of the passivation layer 302 and the pad 303 and has a flat upper surface, and has a contact hole 307 penetrating the dielectric cover layer 304 and the hard mask cover layer 305 and exposing a portion of the upper surface of the corresponding top metal interconnection line 301a, and an opening 314 penetrating the dielectric cover layer 304 and the hard mask cover layer 305 and exposing the upper surface of the pad 303.
The contact hole 307 is filled with a conductive contact plug 308, and the conductive contact plug 308 is an annular plug, and the area surrounded by the annular plug is a dielectric cover layer 304 and a hard mask cover layer 305 which are non-conductive.
A plurality of anodes 309a are formed on a portion of the surface of the hard mask cover layer 305 and are separated from each other, and the bottom of each of the anodes 309a is in contact with the top of the corresponding conductive contact plug 308.
A patterned pixel defining layer 311a is formed on the surface of each of the anodes 309a and the hard mask cover layer 305 therearound, the pixel defining layer 311a having a pixel opening 311b on the upper surface of each of the anodes 309a. The pixel defining layer 311a also exposes the region where the pad 303 is located.
The organic light emitting layer is filled in each of the pixel openings 311b, and the cathode covers at least the organic light emitting layer. The stacked structure between each anode 309a and the upper cathode constitutes a corresponding OLED light-emitting element.
Optionally, the cathode is also filled in the opening 314 of the insulating cover layer above the bonding pad 303, at this time, not only a part of the circuits in the chip circuit substrate can be used to drive and control the anode of the OLED light emitting element, but also another part of the circuits can be used to drive and control the cathode of the OLED light emitting element, so that the chip circuit substrate can finally control and drive the OLED light emitting element to emit light. In addition, since the bonding pad 303 is in a groove shape, the contact area between the cathode and the bonding pad 303 is increased, thereby improving the reliability of the electrical connection between the chip circuit substrate and the OLED light emitting element.
In this embodiment, the materials of each structure may be referred to the description in the method for manufacturing the OLED device, which is not described herein.
In summary, according to the OLED device and the method for manufacturing the same, on the basis of the original structure of the chip circuit substrate subjected to BEOL manufacturing, the three processes of the conductive contact plug, the anode and the pixel defining layer are added, so that the original chip circuit substrate can be directly connected with the material of the display portion of the OLED device, and the finally manufactured device can have an OLED display function, namely, the OLED display device is obtained. In addition, the structure such as the conductive contact plug, the anode and the pixel defining layer can be directly manufactured on the basis of the original structure of the chip circuit substrate for completing the BEOL manufacturing process, so that the problem that extra space is occupied by bonding wires and a sealing area when the OLED display panel and the chip circuit substrate are electrically connected together by means of a packaging process and the like can be avoided, the size of the finally manufactured OLED device is further reduced, and the OLED micro-display device is particularly suitable for manufacturing OLED micro-displays such as glasses.
The above description is only illustrative of the preferred embodiments of the present invention and is not intended to limit the scope of the present invention, and any alterations and modifications made by those skilled in the art based on the above disclosure shall fall within the scope of the appended claims.

Claims (12)

1. A method of manufacturing an OLED device, comprising:
providing a chip circuit substrate for finishing a back-end process, wherein the chip circuit substrate is provided with a plurality of top-layer metal interconnection lines;
forming an insulating cover layer to cover the chip circuit substrate, and etching the insulating cover layer to expose the surface of the corresponding top metal interconnection line so as to form a contact hole;
forming a conductive contact plug in the contact hole;
forming a plurality of mutually separated anodes on part of the surface of the insulating cover layer, wherein the bottom of each anode is in electrical contact with the top of the corresponding conductive contact plug;
forming a patterned pixel defining layer on the surface of each of the anodes and the surrounding insulating cover layer, the pixel defining layer having a pixel opening on the upper surface of each of the anodes;
and forming an organic light-emitting layer filled in each pixel opening, and forming a cathode corresponding to each anode on the surface of the organic light-emitting layer to form an OLED light-emitting element on the chip circuit substrate.
2. The method of manufacturing an OLED device as claimed in claim 1, wherein the chip circuit substrate further has a passivation layer covering an upper surface of each of the top metal interconnection lines and having at least one opening, each of the openings exposing a partial region of the corresponding top metal interconnection line, and a pad is formed in each of the openings.
3. The method of manufacturing an OLED device of claim 2, further comprising, after forming the patterned pixel defining layer and before forming the organic light emitting layer: and opening the insulating cover layer above the bonding pad through photoetching and etching processes to expose the upper surface of the bonding pad.
4. The method of manufacturing an OLED device of claim 2, wherein the step of forming the insulating cover layer having the contact holes on the chip circuit substrate includes:
forming a dielectric cover layer on the passivation layer and the surface of the bonding pad, and burying the bonding pad;
top planarizing the dielectric cap layer such that the dielectric cap layer has a planar upper surface;
sequentially forming a hard mask covering layer and a photoresist layer with a contact hole pattern on the surface of the dielectric covering layer, wherein the contact hole defined by the contact hole pattern is aligned with a partial region of the corresponding top-layer metal interconnection line;
and etching the insulating cover layer by taking the photoresist layer as a mask until the surface of the corresponding top-layer metal interconnection line is exposed, so as to form the contact hole.
5. The method of manufacturing an OLED device of claim 1, wherein the step of forming the conductive contact plug in the contact hole includes:
depositing a conductive material on the surfaces of the insulating cover layer and the contact hole, wherein the deposited conductive material at least can fill the contact hole;
and carrying out chemical mechanical polishing on the deposited conductive material until the upper surface of the insulating cover layer is exposed so as to form the conductive contact plug filled in the contact hole.
6. The method of manufacturing an OLED device of claim 1, wherein the step of forming a plurality of said anodes on the surface of said insulating cover layer includes:
forming an anode material layer to cover the surfaces of the insulating cover layer and the conductive contact plug;
forming a photoresist layer with an anode pattern on the anode material layer;
and etching the anode material layer by taking the photoresist layer as a mask to form a plurality of anodes which are positioned above each conductive contact plug and are separated from each other.
7. The method of manufacturing an OLED device of claim 1, wherein the step of forming the patterned pixel defining layer includes:
forming a pixel defining layer to cover the insulating cover layer and the surface of each anode;
forming a photoresist layer with a pixel defining pattern on the pixel defining layer;
and etching the pixel defining layer by taking the photoresist layer as a mask to remove redundant pixel defining layers and forming corresponding pixel openings on the upper surface of each anode.
8. The method of manufacturing an OLED device as claimed in any one of claims 1-7, wherein the contact hole is an annular contact hole, the conductive contact plug is an annular plug, and an area surrounded by the conductive contact plug is a light-transmitting and non-conductive material.
9. An OLED device, comprising:
the chip circuit substrate is provided with a plurality of top metal interconnection lines;
the insulating cover layer covers the chip circuit substrate and is provided with a contact hole exposing part of the upper surface of the corresponding top metal interconnection line;
a conductive contact plug filled in the contact hole;
a plurality of mutually separated anodes formed on a part of the surface of the insulating cover layer, and the bottom of each anode is in contact with the top of a corresponding conductive contact plug;
a patterned pixel defining layer formed on a surface of each of the anodes and an insulating cover layer therearound, the pixel defining layer having a pixel opening on an upper surface of each of the anodes;
an organic light emitting layer filled in each of the pixel openings; the method comprises the steps of,
and cathodes formed on the surface of the organic light emitting layer and corresponding to the respective anodes.
10. The OLED device of claim 9, wherein said chip circuit substrate further has a passivation layer covering an upper surface of each of said top metal interconnect lines and having at least one opening, each of said openings exposing a portion of a corresponding region of said top metal interconnect line, and each of said openings having a bond pad formed therein; the insulating cover layer also has an opening exposing an upper surface of the pad.
11. The OLED device of claim 10, wherein the insulating capping layer includes a dielectric capping layer and a hard mask capping layer stacked in sequence on the passivation layer, and the dielectric capping layer overlies surfaces of the passivation layer and the pad and has a planar upper surface.
12. The OLED device claimed in any one of claims 9-11, wherein the contact hole is an annular contact hole, the conductive contact plug is an annular plug, and the area surrounded by the conductive contact plug is a light transmissive and non-conductive material.
CN202010183590.1A 2020-03-16 2020-03-16 OLED device and manufacturing method thereof Active CN113410176B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010183590.1A CN113410176B (en) 2020-03-16 2020-03-16 OLED device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010183590.1A CN113410176B (en) 2020-03-16 2020-03-16 OLED device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
CN113410176A CN113410176A (en) 2021-09-17
CN113410176B true CN113410176B (en) 2023-10-27

Family

ID=77676816

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010183590.1A Active CN113410176B (en) 2020-03-16 2020-03-16 OLED device and manufacturing method thereof

Country Status (1)

Country Link
CN (1) CN113410176B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117397391A (en) * 2021-12-24 2024-01-12 京东方科技集团股份有限公司 Display panel and display device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173634A (en) * 2004-12-16 2006-06-29 Samsung Electronics Co Ltd Cmos image sensor equipped with pixel array
CN104637921A (en) * 2013-11-06 2015-05-20 无锡华润上华科技有限公司 Non-conductive layer structure of semiconductor assembly and manufacturing method thereof
CN105552107A (en) * 2016-02-29 2016-05-04 上海天马有机发光显示技术有限公司 Display panel, manufacturing method and electronic equipment
US10002928B1 (en) * 2014-12-23 2018-06-19 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
CN110707102A (en) * 2019-03-28 2020-01-17 友达光电股份有限公司 Display device
CN110739312A (en) * 2018-07-19 2020-01-31 合肥晶合集成电路有限公司 Split-gate type nonvolatile memory and preparation method thereof

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4801278B2 (en) * 2001-04-23 2011-10-26 株式会社半導体エネルギー研究所 Light emitting device and manufacturing method thereof
US7868957B2 (en) * 2003-12-02 2011-01-11 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor, display device and liquid crystal display device and method for manufacturing the same
US7479447B2 (en) * 2005-04-04 2009-01-20 International Business Machines Corporation Method of forming a crack stop void in a low-k dielectric layer between adjacent fuses
KR102056466B1 (en) * 2013-06-04 2019-12-17 삼성디스플레이 주식회사 Organic light emmiting display appratus and method of manufacturing the same
CN111201711A (en) * 2017-12-28 2020-05-26 英特尔公司 RF front-end module including hybrid filter and active circuitry in a single package

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173634A (en) * 2004-12-16 2006-06-29 Samsung Electronics Co Ltd Cmos image sensor equipped with pixel array
CN104637921A (en) * 2013-11-06 2015-05-20 无锡华润上华科技有限公司 Non-conductive layer structure of semiconductor assembly and manufacturing method thereof
US10002928B1 (en) * 2014-12-23 2018-06-19 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
CN105552107A (en) * 2016-02-29 2016-05-04 上海天马有机发光显示技术有限公司 Display panel, manufacturing method and electronic equipment
CN110739312A (en) * 2018-07-19 2020-01-31 合肥晶合集成电路有限公司 Split-gate type nonvolatile memory and preparation method thereof
CN110707102A (en) * 2019-03-28 2020-01-17 友达光电股份有限公司 Display device

Also Published As

Publication number Publication date
CN113410176A (en) 2021-09-17

Similar Documents

Publication Publication Date Title
US6921714B2 (en) Method for manufacturing a semiconductor device
KR101107627B1 (en) Method for forming pad of three dimensional stacking wafer
US8552554B2 (en) Heat dissipation structure for electronic device and fabrication method thereof
CN109962064B (en) Semiconductor device, method of manufacturing the same, and semiconductor package including the same
CN101355044A (en) System in package and method for fabricating the same
US11908838B2 (en) Three-dimensional device structure including embedded integrated passive device and methods of making the same
US11812646B2 (en) Display device and manufacturing method thereof
CN115528008A (en) Three-dimensional device structure
US8324731B2 (en) Integrated circuit device
CN113410176B (en) OLED device and manufacturing method thereof
US6803304B2 (en) Methods for producing electrode and semiconductor device
CN109755204B (en) Micro-connection structure and manufacturing method thereof
US10236248B2 (en) Semiconductor device and manufacturing method thereof
US20220415817A1 (en) Semiconductor structure and manufacturing methods thereof
US11688667B2 (en) Semiconductor package including a pad pattern
US11127626B2 (en) Method of manufacturing a semiconductor device
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same
US20060226549A1 (en) Semiconductor device and fabricating method thereof
US20030116826A1 (en) Interconnect structure capped with a metallic barrier layer and method fabrication thereof
KR100871551B1 (en) Semiconductor device and method for manufacturing thereof
CN113629089B (en) Semiconductor device and method for manufacturing the same
CN113629088B (en) Method for manufacturing metal grid, backside-illuminated image sensor and method for manufacturing backside-illuminated image sensor
KR20090022325A (en) Bonding pad of semiconductor device and method for manufacturing the same
KR20020086100A (en) a forming method of a contact for multi-level interconnects
KR20230045973A (en) Semiconductor chips having recessed regions

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant