CN113284841A - Method for forming three-dimensional semiconductor structure - Google Patents

Method for forming three-dimensional semiconductor structure Download PDF

Info

Publication number
CN113284841A
CN113284841A CN202010341593.3A CN202010341593A CN113284841A CN 113284841 A CN113284841 A CN 113284841A CN 202010341593 A CN202010341593 A CN 202010341593A CN 113284841 A CN113284841 A CN 113284841A
Authority
CN
China
Prior art keywords
dielectric layer
interlayer dielectric
circuit
substrate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010341593.3A
Other languages
Chinese (zh)
Other versions
CN113284841B (en
Inventor
施信益
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanya Technology Corp
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Publication of CN113284841A publication Critical patent/CN113284841A/en
Application granted granted Critical
Publication of CN113284841B publication Critical patent/CN113284841B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/0807Shape of bonding interfaces, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08147Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8036Bonding interfaces of the semiconductor or solid state body
    • H01L2224/80365Shape, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8036Bonding interfaces of the semiconductor or solid state body
    • H01L2224/80379Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The invention discloses a method for forming a three-dimensional semiconductor structure, which comprises the following steps: forming a dielectric via extending from a first surface of a first interlayer dielectric layer of the first element into the first interlayer dielectric layer; bonding the first and second elements through the first surface of the first interlayer dielectric layer and the second surface of the second element such that the through-silicon contact pad on the second surface covers the dielectric via; performing an etching process on the back surface of the first element to simultaneously form a first through hole and a second through hole, and exposing the through silicon contact pad through the second through hole, wherein the back surface faces away from the first interlayer dielectric layer; and forming a first via plug to fill the first via, and a second via plug to fill the second via and the dielectric via. The method of the present invention can simultaneously form an electrical connection to a first element and a second element on one surface of a three-dimensional semiconductor structure.

Description

Method for forming three-dimensional semiconductor structure
Technical Field
The present disclosure relates to a method of forming a three-dimensional semiconductor structure.
Background
The statements herein merely provide background information related to the present disclosure and may not necessarily constitute prior art.
As the density of electronic components has increased, the development of three-dimensional circuit routing schemes has been initiated. In recent years, Through Silicon Via (TSV) technology for connecting an upper electronic component and a lower electronic component has been developed vigorously. The process of forming the TSV may begin from the surface of the upper electronic element. The completion of the TSV structure can be electrically connected to the circuit interconnection of the upper electronic element and the circuit interconnection of the lower electronic element, and can receive an external signal. However, in general, a multi-step etching process is performed to complete the TSV structure. Recently, there has been an alternative method of embedding an etch delay structure in a specific portion of the upper electronic device, so that TSVs of different depths can be generated in the same etching process. A three-dimensional circuit structure is then formed that can be electrically connected directly from the surface of the upper electronic component to the upper electronic component and the lower electronic component.
Disclosure of Invention
The present invention is directed to a method of forming a three-dimensional semiconductor structure that simultaneously forms an electrical connection to a first element and a second element on a surface of the three-dimensional semiconductor structure.
Some embodiments of the invention disclose a method of three-dimensional semiconductor structure, comprising: preparing a first element having a first circuit and a first interlayer dielectric layer disposed on a first substrate, wherein the first interlayer dielectric layer surrounds the first circuit and contacts the first substrate; forming a dielectric via extending into the first interlayer dielectric layer from a first surface of the first interlayer dielectric layer, wherein the first surface faces away from the first substrate; bonding the first and second elements through the first surface of the first interlayer dielectric layer and the second surface of the second element such that the through-silicon contact pad exposed from the second surface of the second element covers the dielectric via; and performing an etching process on the back surface of the first element to simultaneously form a first via hole and a second via hole, and exposing the through silicon contact pad through the second via hole, the back surface facing away from the first interlayer dielectric layer.
In one or more embodiments of the invention, the second component is further prepared by: and forming a second circuit and a second interlayer dielectric layer on the second substrate, wherein the second interlayer dielectric layer surrounds the second circuit, the through silicon contact pad is arranged on the second surface of the second interlayer dielectric layer, and the second surface faces away from the second substrate.
In one or more embodiments of the present invention, the bonding includes hybrid bonding the first element and the second element such that the first conductive pad of the first circuit is bonded to the second conductive pad of the second circuit, and the first interlayer dielectric layer is bonded to the second interlayer dielectric layer, wherein the first conductive pad is exposed from the first surface and is in contact with a portion of the plurality of first interconnects of the first circuit, and the second conductive pad is exposed from the second surface and is in contact with a portion of the plurality of second interconnects of the second circuit.
In one or more embodiments of the present invention, the method further includes removing the first substrate from a backside portion of the first substrate before performing the etching process.
In one or more embodiments of the present invention, the partial removal is performed by silicon polishing.
In one or more embodiments of the present invention, the method further includes forming a passivation layer on the back surface of the first substrate before performing the etching process.
In one or more embodiments of the present invention, performing an etching process includes: etching the back surface of the first substrate to form a first blind hole and a second blind hole, so that a first temporary end of the first blind hole is adjacent to one of the first interconnections of the first circuit, and a second temporary end of the second blind hole is adjacent to the dielectric through hole; forming an isolation layer in the first blind hole and the second blind hole conformally; and etching the back surface of the first substrate to form a first through hole from the first blind via and a second through hole from the second blind via.
In one or more embodiments of the present invention, etching the isolation layer is performed by dry etching.
In one or more embodiments of the present invention, etching the back surface of the first substrate to form the first via hole from the first blind hole, and forming the second via hole from the second blind hole includes: the isolation layer and the first interlayer dielectric layer are etched until one of the plurality of first interconnections of the first circuit is exposed from the first via hole and the through silicon contact pad is exposed from the second via hole.
In one or more embodiments of the present invention, the diameter of the second via is larger than the diameter of the dielectric via.
In one or more embodiments of the present invention, the first via and the second via are formed such that one of the plurality of first interconnections of the first circuit is exposed from the first via, and the through-silicon contact pad is exposed from the second via.
In one or more embodiments of the present invention, the method further includes forming a first via plug to fill the first via, and a second via plug to fill the second via and the through dielectric via, wherein the first via plug contacts one of the plurality of first interconnects of the first circuit, and the second via plug contacts the through silicon contact pad.
The above-described embodiments of the present invention enable the simultaneous formation of electrical connections to a first element and a second element on one surface of a three-dimensional semiconductor structure by essentially one etching and one deposition process. Which omits an additional etch-delay structure for reducing an etch rate for forming some of the via holes when performing the etch process.
In order to make the aforementioned and other features and advantages of the invention more comprehensible, embodiments accompanied with figures are described in detail below.
Drawings
FIG. 1 is a flow chart illustrating a method of forming a three-dimensional semiconductor structure according to some embodiments of the present invention.
FIG. 2A is a schematic cross-sectional view of an intermediate stage of the method depicted in FIG. 1 in some embodiments of the invention.
FIG. 2B is a schematic cross-sectional view of an intermediate stage of the method described in FIG. 1 according to some embodiments of the invention.
FIG. 3 is a schematic cross-sectional view of an intermediate stage of the method described in FIG. 1 according to some embodiments of the invention.
FIG. 4 is a schematic cross-sectional view of an intermediate stage of the method described in FIG. 1 according to some embodiments of the invention.
FIG. 5 is a schematic cross-sectional view of an intermediate stage of the method described in FIG. 1 according to some embodiments of the invention.
FIG. 6 is a schematic cross-sectional view of an intermediate stage of the method depicted in FIG. 1 in some embodiments of the invention.
FIG. 7 is a schematic cross-sectional view of an intermediate stage of the method described in FIG. 1 according to some embodiments of the invention.
FIG. 8 is a schematic cross-sectional view of an intermediate stage of the method described in FIG. 1 according to some embodiments of the invention.
FIG. 9 is a schematic cross-sectional view of an intermediate stage of the method described in FIG. 1 according to some embodiments of the invention.
Description of the main reference numerals:
1000-three-dimensional semiconductor structure, 110-first element, 1102-first surface, 1104-back surface, 112-first substrate, 114-first circuit, 1142-first interconnect, 1144-first conductive pad, 116-first interlayer dielectric layer, 118-dielectric via, 120-second element, 1202-second surface, 122-second substrate, 124-second circuit, 1242-second interconnect, 1244-second conductive pad, 126-second interlayer dielectric layer, 128-through silicon, 130-passivation layer, 140-isolation layer, B1-first blind via, B2-second blind via, D1, D2-diameter, I1-first inner wall, I2-second inner wall, P1-first via plug, P2-second via plug, s-method, S1, S2, S3, S4, S5-operation, T1-first temporary end, T2-second temporary end, V1-first through hole, V2-second through hole.
Detailed Description
In order to make the description of the invention more complete and complete, the following description is given for illustrative purposes with respect to embodiments and examples of the invention; it is not intended to be the only form in which the embodiments of the invention may be practiced or utilized. The embodiments disclosed below may be combined with or substituted for one another where appropriate, and additional embodiments may be added to one embodiment without further recitation or description.
In the following description, numerous specific details are set forth to provide a thorough understanding of the following embodiments. However, embodiments of the invention may be practiced without these specific details. In other instances, well-known structures and devices are shown schematically in order to simplify the drawing.
Refer to fig. 1 to 9. Fig. 1 is a flow chart illustrating a method S of forming a three-dimensional semiconductor structure according to some embodiments of the invention. Fig. 2A-9 are schematic cross-sectional views illustrating intermediate stages of the method S depicted in fig. 1 according to some embodiments of the invention. Method S begins with operation S1, where a first element 110 is prepared. The first device 110 has a first circuit 114 and a first interlayer dielectric layer 116, which are disposed on a first substrate 112. The first interlayer dielectric layer 116 surrounds the first circuit 114 and contacts the first substrate 112 (see fig. 2A). In some embodiments, the first circuitry 114 is embedded in the first interlayer dielectric layer 116. In detail, the first interlayer dielectric layer 116 surrounds and contacts the first interconnection 1142 of the first circuit 114. The first conductive pad 1144 of the first circuit 114 is electrically connected to the first interconnection 1142 and contacts the first interlayer dielectric layer 116. In addition, the first conductive pad 1144 is exposed from the first surface 1102 of the first interlayer dielectric layer 116, wherein the first surface 1102 faces away from the first substrate 112. In some embodiments, the first element 110 is fabricated by forming (e.g., depositing) a first circuit 114 and a first interlayer dielectric layer 116 on a first substrate 112.
The method S then proceeds to operation S2, where a via 118 is formed extending from the first surface 1102 of the first ild layer 116 into the first ild layer 116 (see also fig. 2A). The dielectric through hole 118 may be formed by, for example, wet etching or dry etching, but not limited thereto. The method S then proceeds to operation S3, where the first element 110 and the second element 120 are bonded by the first surface 1102 of the first interlayer dielectric layer 116 and the second surface 1202 of the second element 120, so that the through-silicon contact pad 128 exposed from the second surface 1202 of the second element 120 covers the dielectric via 118 (refer to fig. 2B and 3). In some embodiments, the first element 110 and the second element 120 are hybrid bonded (hybrid bonding) such that some of the first conductive pads 1144 of the first circuit 114 are bonded and contact some of the second conductive pads 1244 of the second circuit 124, and the first interlayer dielectric layer 116 is bonded and contact the second interlayer dielectric layer 126 of the second element 120. In some embodiments, a first conductive pad 1144 is exposed from the first surface 1102 and is in contact with a portion of the first interconnect 1142, and a second conductive pad 1244 is exposed from the second surface 1202 and is in contact with a portion of the second interconnect 1242. The first interconnect 1142 and the second interconnect 1242 may be distributed and embedded in the first interlayer dielectric layer 116 and the second interlayer dielectric layer 126, respectively, to form a circuit of a multi-layered circuit. The first and second interconnects 1142, 1242 may also include conductive pads, which connect different circuit layers.
The first interconnect 1142, the second interconnect 1242, the first conductive pad 1144, and the second conductive pad 1244 may include a metal, such as tungsten (W), aluminum (Al), copper (Cu), or a metal silicide, such as tungsten silicide (WSi) or a metal silicide (Cu)2) Titanium disilicide (TiSi)2) Or a metal compound, e.g. tungsten nitride (W)3N2) Titanium nitride (TiN), or polysilicon (poly-Si), or a combination thereof, but not limited thereto.
The first interlayer dielectric layer 116 and the second interlayer dielectric layer 126 may comprise an insulating material, such as silicon dioxide (SiO)2) But not limited thereto.
In some embodiments, the second element 120 is fabricated by forming (e.g., depositing) a second circuit 124 and a second interlayer dielectric layer 126 on the second substrate 122. The second interlayer dielectric layer 126 surrounds the second circuit 124. The through silicon contact pad 128 is exposed from the second surface 1202 of the second interlayer dielectric layer 126, and the second surface 1202 faces away from the second substrate 122.
The first substrate 112 and the second substrate 122 may include a bulk silicon-on-insulator (SOI) wafer, a compound semiconductor such as silicon-germanium (SiGe), or a wafer on which a silicon epitaxial layer is grown, but not limited thereto.
The method S then proceeds to operation S4, where an etching process is performed on the back surface 1104 of the first substrate 112 facing away from the first interlayer dielectric layer 116 to simultaneously form a first via V1 and a second via V2, and expose the through-silicon contact pad 128 through the second via V2 (refer to fig. 3 and 8). In some embodiments, the first via V1 is pre-aligned with one of the first interconnects 1142 described above. The location of the second via V2 is pre-aligned to the through silicon contact pad 128 before the first via V1 and the second via V2 are formed. In some embodiments, after operation S3, the etching process is performed before the etching process is performed from the first substrate 1The back surface 1104 of the substrate 12 partially removes the first substrate 112 (see fig. 4). In some embodiments, the partial removal is by way of silicon polishing, but not limited thereto. By silicon polishing, it is easier to allow the subsequent etching process to etch through the first substrate 112. In some embodiments, the passivation layer 130 is formed on the back surface 1104 of the first substrate 112 before the etching process is performed (refer to fig. 5). After that, a dry etching process may be performed on the back surface 1104 to remove a portion of the passivation layer 130, and then a wet etching process may be performed to form the first and second blind vias B1 and B2 (refer to fig. 6). Note that the operations of removing the foregoing part of the passivation layer 130 and forming the first and second blind vias B1 and B2 are not limited to the above-described processes. In some other embodiments, the removal of the portion of the passivation layer 130 and the formation of the first and second blind vias B1 and B2 may be performed in a single dry etching process. In the aforementioned embodiment, the first temporary end T1 of the first blind via B1 is immediately adjacent to (e.g., extends into the ild layer 116) one of the first interconnects 1142, and the second temporary end T2 of the second blind via B2 is immediately adjacent to (e.g., extends into the ild layer 116) the dielectric via 118. The passivation layer 130 may include silicon dioxide (SiO)2) Silicon nitride (SiN)x) Or an organic material such as benzocyclobutene (BCB), but not limited thereto.
In some embodiments, the isolation layer 140 is conformally formed within the first blind hole B1 and the second blind hole B2 (refer to fig. 7). The isolation layer 140 may include an insulating material, such as, but not limited to, the same material as used for the passivation layer 130. The isolation layer 140 may be formed on the first inner wall I1 in the first blind hole B1 of the first substrate 112, the first temporary terminal T1, the second inner wall I2 in the second blind hole B2 of the first substrate 112, and the second temporary terminal T2, but not limited thereto. After the isolation layer 140 is formed, the rear surface 1104 of the first substrate 112 is then etched to form a first via V1 from the first blind via B1 and a second via V2 from the second blind via B2 (refer to fig. 8). In some embodiments, the isolation layer 140 and the first interlayer dielectric layer 116 are etched until one of the above-mentioned first interconnects 1142 is exposed from the first via V1, and the through silicon contact pad 128 is exposed from the second via V2.
The method S then proceeds to operation S5, where a first via plug P1 is formed to fill the first via V1, and a second via plug P2 is formed to fill the second via V2 and the dielectric via 118. The first and second via plugs P1 and P2 may include a metal, such as tungsten, aluminum, cobalt, nickel, and copper, and/or a metal silicide, but not limited thereto. The first via plug P1 contacts the aforementioned one of the first interconnections 1142 of the first circuit 114, and the second via plug P2 contacts the through silicon contact pad 128. The isolation layer 140 on the first inner wall I1 in the first blind hole B1 and the isolation layer 140 on the second inner wall I2 in the second blind hole B2 prevent contact and direct electrical connection between the first via plug P1 and the first substrate 112, and between the second via plug P2 and the first substrate 112. Through the above processes, the three-dimensional semiconductor structure 1000 (refer to fig. 9) is formed. The above process can simultaneously form the first interconnect 1142 directly electrically connected from the backside 1104 of the first device 110 (via the first via plug P1) and the silicon contact pad 128 directly electrically connected from the backside 1104 of the first device 110 (via the second via plug P2), without using any additional etch delay structure to control the etch rate of the first via V1. In some embodiments, the diameter D1 of the second via V2 is greater than the diameter D2 of the dielectric via 118, thereby preventing possible leakage current between the second via plug P2 and the first substrate 112. In some embodiments, a Chemical Mechanical Polishing (CMP) process is performed on the first and second via plugs P1 and P2 and the passivation layer 130. That is, a chemical mechanical polishing process is performed on the backside 1104 after the first and second via plugs P1 and P2 are formed to planarize the backside 1104.
In summary, embodiments of the present invention provide a method of forming a three-dimensional semiconductor structure, which can simultaneously form an electrical connection to a first element and a second element on one surface of the three-dimensional semiconductor structure by substantially one etching and one deposition process, which eliminates an additional etch delay structure for reducing an etch rate for forming some vias when performing the etching process.
While the present invention has been described with reference to the embodiments, it will be understood by those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the invention, and therefore, the scope of the invention is to be determined by the appended claims.

Claims (12)

1. A method of forming a three-dimensional semiconductor structure, comprising:
preparing a first element having a first circuit and a first interlayer dielectric layer disposed on a first substrate, wherein the first interlayer dielectric layer surrounds the first circuit and contacts the first substrate;
forming a dielectric via extending into the first interlayer dielectric layer from a first surface of the first interlayer dielectric layer, wherein the first surface faces away from the first substrate;
bonding the first and second elements through the first and second surfaces of the first interlayer dielectric layer such that through-silicon contact pads exposed from the second surface of the second element cover the dielectric vias; and
performing an etching process on a back surface of the first element to simultaneously form a first via and a second via, and expose the through silicon contact pad through the second via, wherein the back surface faces away from the first interlayer dielectric layer.
2. The method of claim 1, wherein the second component is further prepared by:
forming a second circuit and a second interlayer dielectric layer on a second substrate, wherein the second interlayer dielectric layer surrounds the second circuit, the through silicon contact pad is on the second surface of the second interlayer dielectric layer, and the second surface faces away from the second substrate.
3. The method of claim 2, wherein said bonding comprises:
hybrid bonding the first and second elements such that a first conductive pad of the first circuit is bonded to a second conductive pad of the second circuit, the first interlayer dielectric layer is bonded to the second interlayer dielectric layer, wherein the first conductive pad is exposed from the first surface and is in contact with a portion of a plurality of first interconnects of the first circuit, and the second conductive pad is exposed from the second surface and is in contact with a portion of a plurality of second interconnects of the second circuit.
4. The method of claim 1, further comprising removing the first substrate from the backside portion of the first substrate prior to performing the etching process.
5. The method of claim 4, wherein the partial removal is by silicon grinding.
6. The method of claim 1, further comprising forming a passivation layer on the back side of the first substrate prior to performing the etching process.
7. The method of claim 1, wherein performing the etching process comprises:
etching the back side of the first substrate to form a first blind via and a second blind via, such that a first temporary end of the first blind via is proximate to one of the plurality of first interconnects of the first circuit and a second temporary end of the second blind via is proximate to the through dielectric via;
forming an isolation layer in common in the first and second blind holes; and
etching the back side of the first substrate to form the first via from the first blind via and to form the second via from the second blind via.
8. The method of claim 7, wherein etching the isolation layer is performed by dry etching.
9. The method of claim 7, wherein etching the back side of the first substrate to form the first via from the first blind via and the second via from the second blind via comprises:
the isolation layer and the first interlayer dielectric layer are etched until one of the plurality of first interconnects of the first circuit is exposed from the first via and the through silicon contact pad is exposed from the second via.
10. The method of claim 1, wherein a diameter of the second via is larger than a diameter of the dielectric via.
11. The method of claim 1, wherein the first via and the second via are formed such that one of a plurality of first interconnects of the first circuit is exposed from the first via and the through silicon contact pad is exposed from the second via.
12. The method of claim 1, further comprising:
forming a first via plug to fill the first via, and a second via plug to fill the second via and the through dielectric via, wherein the first via plug contacts one of the plurality of first interconnects of the first circuit, and the second via plug contacts the through silicon contact pad.
CN202010341593.3A 2020-02-19 2020-04-27 Method for forming three-dimensional semiconductor structure Active CN113284841B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/795,538 2020-02-19
US16/795,538 US11043469B1 (en) 2020-02-19 2020-02-19 Method of forming three dimensional semiconductor structure

Publications (2)

Publication Number Publication Date
CN113284841A true CN113284841A (en) 2021-08-20
CN113284841B CN113284841B (en) 2024-04-05

Family

ID=76441878

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010341593.3A Active CN113284841B (en) 2020-02-19 2020-04-27 Method for forming three-dimensional semiconductor structure

Country Status (3)

Country Link
US (1) US11043469B1 (en)
CN (1) CN113284841B (en)
TW (1) TWI732526B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117936507A (en) * 2020-09-02 2024-04-26 长江存储科技有限责任公司 Pad lead-out structure for Xtacking architecture
US11664364B2 (en) 2021-03-25 2023-05-30 Nanya Technology Corporation Semiconductor device with through semiconductor via and method for fabricating the same
US20230377885A1 (en) * 2022-05-19 2023-11-23 Nanya Technology Corporation Semiconductor device and manufacturing method thereof
CN115621197B (en) * 2022-11-22 2023-03-14 湖北三维半导体集成创新中心有限责任公司 Through-silicon-via interconnected cavity structure and forming method thereof

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090305502A1 (en) * 2008-06-10 2009-12-10 Ho-Jin Lee Methods of Forming Integrated Circuit Chips Having Vertically Extended Through-Substrate Vias Therein and Chips Formed Thereby
US20100193964A1 (en) * 2009-02-03 2010-08-05 International Business Machines Corporation method of making 3d integrated circuits and structures formed thereby
US20110079892A1 (en) * 2009-10-01 2011-04-07 Chia-Lun Tsai Chip package and fabrication method thereof
US20150221695A1 (en) * 2014-02-03 2015-08-06 Samsung Electronics Co., Ltd. Via structures including etch-delay structures and semiconductor devices having via plugs
US20150249051A1 (en) * 2013-09-25 2015-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional circuit including shielded inductor and method of forming same
CN106449672A (en) * 2015-08-04 2017-02-22 精材科技股份有限公司 Sensing chip package and a manufacturing method thereof
CN107482010A (en) * 2016-06-07 2017-12-15 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof, electronic installation
TW201926560A (en) * 2017-11-30 2019-07-01 美商英特爾股份有限公司 Pitch-divided interconnects for advanced integrated circuit structure fabrication

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064717B2 (en) * 2008-09-26 2015-06-23 International Business Machines Corporation Lock and key through-via method for wafer level 3D integration and structures produced thereby
US10181454B2 (en) * 2010-03-03 2019-01-15 Ati Technologies Ulc Dummy TSV to improve process uniformity and heat dissipation
CN102446886B (en) * 2010-09-30 2014-10-15 中国科学院微电子研究所 3D (three-dimensional) integrated circuit structure and forming method thereof
US8860229B1 (en) * 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
TWI676280B (en) * 2014-04-18 2019-11-01 日商新力股份有限公司 Solid-state imaging device and electronic device therewith
US9793243B2 (en) * 2014-08-13 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer(s) on a stacked structure having a via
DE112015006939T5 (en) * 2015-09-25 2018-06-14 Intel Corporation Control of a backside finned recess with possibility of several HSI
US9761546B2 (en) * 2015-10-19 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Trap layer substrate stacking technique to improve performance for RF devices
KR102473664B1 (en) * 2016-01-19 2022-12-02 삼성전자주식회사 Multi-Stacked Device Having a TSV Structure
US11594567B2 (en) * 2017-04-04 2023-02-28 Sony Group Corporation Solid-state imaging device and electronic apparatus
US11411095B2 (en) * 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
US11462436B2 (en) * 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090305502A1 (en) * 2008-06-10 2009-12-10 Ho-Jin Lee Methods of Forming Integrated Circuit Chips Having Vertically Extended Through-Substrate Vias Therein and Chips Formed Thereby
US20100193964A1 (en) * 2009-02-03 2010-08-05 International Business Machines Corporation method of making 3d integrated circuits and structures formed thereby
US20110079892A1 (en) * 2009-10-01 2011-04-07 Chia-Lun Tsai Chip package and fabrication method thereof
US20150249051A1 (en) * 2013-09-25 2015-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional circuit including shielded inductor and method of forming same
US20150221695A1 (en) * 2014-02-03 2015-08-06 Samsung Electronics Co., Ltd. Via structures including etch-delay structures and semiconductor devices having via plugs
CN106449672A (en) * 2015-08-04 2017-02-22 精材科技股份有限公司 Sensing chip package and a manufacturing method thereof
CN107482010A (en) * 2016-06-07 2017-12-15 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof, electronic installation
TW201926560A (en) * 2017-11-30 2019-07-01 美商英特爾股份有限公司 Pitch-divided interconnects for advanced integrated circuit structure fabrication

Also Published As

Publication number Publication date
TWI732526B (en) 2021-07-01
US11043469B1 (en) 2021-06-22
CN113284841B (en) 2024-04-05
TW202133401A (en) 2021-09-01

Similar Documents

Publication Publication Date Title
US9793192B2 (en) Formation of through via before contact processing
CN113284841B (en) Method for forming three-dimensional semiconductor structure
US20220208749A1 (en) Semiconductor devices and methods of manufacture thereof
EP2194574B1 (en) Method for producing interconnect structures for integrated circuits
US7626257B2 (en) Semiconductor devices and methods of manufacture thereof
US7645701B2 (en) Silicon-on-insulator structures for through via in silicon carriers
US8809188B2 (en) Method for fabricating through substrate vias
EP2319075B1 (en) Method of fabricating a through silicon via
CN102446886B (en) 3D (three-dimensional) integrated circuit structure and forming method thereof
US20160133518A1 (en) Semiconductor device and fabricating method thereof
EP1672688A1 (en) Formation of deep via airgaps for three dimensional wafer to wafer interconnect
JP2009539239A (en) Semiconductor integrated circuit device having high Q wafer backside capacitor (integrated circuit device and method of forming integrated circuit device)
TWI691454B (en) Monolithic integration of mems and ic devices and method of forming the same
US20100270674A1 (en) High quality electrical contacts between integrated circuit chips
CN111162048A (en) Semiconductor device having through silicon via and method of manufacturing the same
US20130140688A1 (en) Through Silicon Via and Method of Manufacturing the Same
CN110858536A (en) Method for forming semiconductor device
US7579258B2 (en) Semiconductor interconnect having adjacent reservoir for bonding and method for formation
CN112530899A (en) Semiconductor device and method for manufacturing the same
US9761481B2 (en) Integrated circuits and methods of forming the same with metal layer connection to through-semiconductor via
TWI459507B (en) Method for fabricating through-silicon via structure
TWI502716B (en) Method for fabricating through-silicon via structure
JP2000021976A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant