CN113016060A - 基于实时虚拟计量学的前馈式运行批次间晶片生产控制系统 - Google Patents

基于实时虚拟计量学的前馈式运行批次间晶片生产控制系统 Download PDF

Info

Publication number
CN113016060A
CN113016060A CN202080003985.7A CN202080003985A CN113016060A CN 113016060 A CN113016060 A CN 113016060A CN 202080003985 A CN202080003985 A CN 202080003985A CN 113016060 A CN113016060 A CN 113016060A
Authority
CN
China
Prior art keywords
target wafer
tool
model
data
historical data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202080003985.7A
Other languages
English (en)
Other versions
CN113016060B (zh
Inventor
F·厄尔梅茨
董亮
王璠
王云龙
杨振宇
张天宇
李岩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of CN113016060A publication Critical patent/CN113016060A/zh
Application granted granted Critical
Publication of CN113016060B publication Critical patent/CN113016060B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/282Testing of electronic circuits specially adapted for particular applications not provided for elsewhere
    • G01R31/2831Testing of materials or semi-finished products, e.g. semiconductor wafers or substrates
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2894Aspects of quality control [QC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Factory Administration (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本公开的各个方面提供了一种APC系统。该APC系统可以包括:对目标晶片执行第一过程的第一加工工具;对该目标晶片执行第二过程的第二加工工具;以及包括预测模型的预测服务器,该预测模型用于使用来自对该目标晶片执行的该第一过程的实时数据预测由该第一过程得到的该目标晶片的特征。可以通过先前第一过程的历史数据更新预测模型的参数。该APC系统还可以包括耦接至该第一和第二加工工具的控制器。在第一加工工具对目标晶片执行第一过程之后,该控制器可以基于由该预测模型预测的目标晶片的特征对第二加工工具发出指令,使之对该目标晶片执行经调整的第二过程。

Description

基于实时虚拟计量学的前馈式运行批次间晶片生产控制系统
技术领域
本申请总体上涉及用于半导体制作的先进过程控制(APC),更具体而言涉及虚拟计量学(VM)。
背景技术
随着半导体器件的不断缩小并且变得更加三维(3D),APC已经变成了半导体制造当中的基本构成部分,从而以降低的成本提高器件成品率和可靠性。作为一种形式的APC的批间(R2R)控制被定义为一种形式的离散过程和机器控制,其中,相对于特定机器过程对产品配方进行非原位修改,即在机器“运行批次”之间进行所述修改,从而使过程漂移、移位和变化最小化。当今市面上能够找到的大部分R2R技术能够进行自动过程调整,以达到目标CD或厚度。这一目的是通过对计量学数据的自动使用以及实施定制方案而实现的。在过程运行是一个批次或组次而非一个工件时,需要大量的计量学数据。因此,将显著增加制造循环时间,更不要提潜在的计量学延迟了。
为了缓解这些问题,人们开发出了VM。VM利用经验预测模型,该模型是通过使用有关历史工件的过程的状态的信息开发的。该经验预测模型被细化,直到来自该VM模型的预测值与实际计量学数据相关为止。如果按照及时的方式更新VM模型,从而使其在合理范围内保持准确,那么能够在从对应的加工工具采集工件的制造数据之后的数秒之内使用该模型生成预测VM数据。因而,VM模型能够显著简化半导体制作,并且缩短制造循环时间。
发明内容
本公开的各个方面提供了先进过程控制(APC)系统和实施APC系统的方法。
根据第一方面,提供了一种APC系统。该APC系统可以包括对目标晶片执行第一过程的第一加工工具,以及在完成了第一过程之后对目标晶片执行第二过程的第二加工工具。该APC系统还可以包括含有预测模型的预测服务器,预测模型用于使用由对目标晶片执行的第一过程得到的实时数据预测由该第一过程得到的目标晶片特征。可以通过先前第一过程的历史数据更新预测模型的参数。该系统可以进一步包括耦接至第一加工工具和第二加工工具的控制器,其中,在第一加工工具对目标晶片执行第一过程之后,该控制器基于由预测模型预测的目标晶片的特征对第二加工工具发出指令,使之对目标晶片执行经调整的第二过程。
在一些实施例中,该APC系统可以包括用于使用历史数据更新训练模型从而使训练模型的参数与预测模型同步的模型训练服务器。此外,可以通过按照一定频率将实时数据添加至历史数据而更新历史数据,并且可以基于更新的历史数据更新经训练的模型,使得预测模型按照该频率更新。例如,该频率可以是大约每五分钟一次或更高。
在一些实施例中,该APC系统可以进一步包括缓冲器,该缓冲器对来自预测服务器的请求排队并且采用可用控制器。
在一些实施例中,历史数据可以包括由第一加工工具收集的先前第一过程的制造数据,并且实时数据可以包括第一加工工具收集的由对目标晶片执行第一过程而得到的制造数据。此外,历史数据可以包括先前第一过程的计量学数据。
在一些实施例中,由第一过程得到的目标晶片的预测特征可以包括临界尺寸(CD)或蚀刻速率(ER)中的至少一者。在一个实施例中,第一过程是蚀刻过程,并且第一加工工具是蚀刻工具。此外,历史数据可以包括先前第一过程的CD或ER中的至少一者以及先前第一过程的温度、蚀刻剂、压强、流速或过程时间中的至少一者,并且实时数据可以包括对目标晶片执行的第一过程的温度、蚀刻剂、压强、流速或过程时间中的至少一者。在另一个实施例中,第二过程是蚀刻过程,并且第二工具是蚀刻工具。此外,可以由控制器调整温度、蚀刻剂、压强、流速或过程时间中的至少一者,以执行经调整的第二过程。
根据本公开的第二方面,提供了一种APC系统。该APC系统可以包括对目标晶片执行第一过程的第一加工工具,以及在完成了第一过程之后对目标晶片执行第二过程的第二加工工具。该APC系统还可以包括耦接至第一加工工具和第二加工工具的控制器,其中,在第一加工工具对目标晶片执行了第一过程之后,该控制器基于由第一过程得到的目标晶片的特征对第二加工工具发出指令,使其对目标晶片执行经调整的第二过程,目标晶片的该特征是由预测模型使用由对目标晶片执行的第一过程得到的实时数据预测出的,预测模型的参数被先前第一过程的历史数据更新。
根据本公开的第三方面,提供了一种用于实施APC系统的方法。该方法包括使用第一加工工具对目标晶片执行第一过程。可以基于历史数据更新预测服务器中的预测模型。可以使用预测模型基于实时数据预测由第一过程得到的目标晶片的特征。可以使用第二加工工具对目标晶片执行经调整的第二过程,第二加工工具受到从预测服务器接收目标晶片的预测特征并且调整对第二加工工具的过程输入的控制器的指令指示。
在一些实施例中,在预测服务器中基于历史数据更新预测模型包括:使用历史数据更新模型训练服务器中的训练模型;以及使训练模型的参数与预测模型进行同步。此外,可以通过按照一定频率将实时数据添加至历史数据而更新历史数据,并且可以基于更新的历史数据更新经训练的模型,使得预测模型按照该频率更新。例如,该频率可以是大约每五分钟一次或更高。
在一些实施例中,在使用预测模型基于实时数据预测由第一过程得到的目标晶片的特征之后,将目标晶片的预测特征从预测服务器转移至缓冲器,该缓冲器对来自预测服务器的请求排队,并且采用可用的控制器。
在一些实施例中,可以使用第一加工工具加工多个历史晶片,并且可以收集关于这多个历史晶片的历史数据。此外,收集关于所述多个历史晶片的历史数据可以包括:从第一加工工具收集关于所述历史晶片的制造数据;以及从计量学工具收集关于所述历史晶片的计量学数据。
附图说明
通过结合附图阅读下述详细描述,本发明的各个方面将得到最佳的理解。应当指出,根据本行业的惯例,各种特征并非是按比例绘制的。实际上,为了讨论的清楚起见,可以增大或者缩小各种特征的尺寸。
图1是根据本公开的示例性实施例的第一APC系统的框图。
图2是根据本公开的示例性实施例的第二APC系统的框图。
图3A、图3B和图3C示出了根据本公开的示例性实施例的处于由APC系统控制的各种机器运行批次上的半导体器件的截面图。
图4示出了根据本公开的示例性实施例的用于实施APC系统的示例性方法的流程图。
具体实施方式
下文的公开内容提供了用于实施所提供的主题的不同特征的很多不同实施例或示例。下文描述了部件和布置的具体示例以简化本公开。当然,这些只是示例,并非意在构成限制。例如,下文的描述当中出现的在第二特征上或之上形成第一特征可以包括所述第一特征和第二特征可以直接接触的实施例,还可以包括可以在所述第一特征和第二特征之间形成额外的特征从而使得所述第一特征和第二特征可以不直接接触的实施例。此外,本公开可以在各个示例中重复使用作为附图标记的数字和/或字母。这种重复的目的是为了简化和清楚的目的,其本身并不指示所讨论的各种实施例和/或配置之间的关系。
此外,文中为了便于说明可以采用空间相对术语,例如,“下面”、“以下”、“下方”、“以上”、“上方”等,以描述一个元件或特征与其他元件或特征的如图所示的关系。空间相对术语意在包含除了附图所示的取向之外的处于使用或操作中的器件的不同取向。所述设备可以具有其他取向(旋转90度或者处于其他取向上),并照样相应地解释文中采用的空间相对描述词。
如上文所述,VM已经被用来改进R2R技术。关于当前R2R技术的一个问题在于这样的一个事实:它们是在工业的3.0时代开发的,彼时使一切自动化是市场的驱动力。在工业4.0时代,生产过程几乎完全自动化,并且在人们能够想象的每一个步骤上都对制造数据进行记录。现在的瓶颈是解决如何将基于大数据的智能解决方案整合到来自前一时代的现有R2R解决方案当中。具体而言,将VM解决方案实施到半导体工厂处的R2R控制器当中将具有重大意义。
在对R2R系统实施VM解决方案时有两个最为明显的主要问题。首先,机器学习和神经网络解决方案依赖于超大规模数据的可用性。半导体制作工厂每秒产生大量数据,必须以很快的速度将其传递给预测模型才能对最新数据加以利用。设备和反应室的性质随着时间的推移而逐渐变化。必须频繁进行预测模型训练,以俘获设备和反应室的最新状态。其次,在将晶片从工具n移到工具n+1时,减少其间的等待时间是非常关键的。当在其间引入VM解决方案时,在从工具n获得所有相关数据以做出将被用在工具n+1上的VM预测上花费的时间必须尽可能短。当前R2R技术并未被构建为处理高频率训练数据繁重型模型和实时预测。
本文的技术包含隔离环境中的模型训练和预测工作,并且模型训练环境与预测服务器单向同步。这样做能够通过利用工厂处可得的最新数据实现高频率模型训练,并且允许模型“学习”最近的设备/反应室性质。此外,模型预测服务器对来自主R2R的标准询问做出响应,如果存在多个预测和R2R服务器,那么这些询问首先通过缓冲器(又称为broker),这样做能够以可靠方式将计量学预测快速前馈给大批量制造。
本公开的各方面提供了基于工厂的大数据平台构建的APC系统。可以在两个单独服务器上执行模型训练和预测。可以基于历史数据(例如,跨越10-30天的周期的数千晶片,对应于大约10-100GB数据)每五分钟(或更频繁地)对模型进行一次训练。每一经训练的模型可以由一组模型参数构成,它们与预测服务器(在一些情况下可以是多个预测服务器)同步。预测需要完成在设备中的加工的晶片的实时数据。在正常情况下,需要每分钟至少几次的预测。大批量制造可能将这一需求提高至每秒钟几次。
还可以将这一架构容易地扩展为针对多种产品和多个R2R控制器做出预测。就多个R2R控制器以及训练和预测服务器而言,可以使用R2R控制器与模型服务器之间的缓冲器对来自R2R系统的请求排队,并且采用可用预测服务器来履行请求。
在本公开的示例性实施例中,一种APC系统可以包括第一加工工具、第二加工工具、预测服务器和控制器。预测服务器可以包括使用实时数据预测精品特征的预测模型,并且预测模型的参数可以被历史数据更新。在涉及多个预测服务器和控制器的另一实施例中,该APC系统可以进一步包括缓冲器,该缓冲器对来自预测服务器的请求排队并且采用可用控制器。
图1是根据本公开的示例性实施例的第一APC系统100的框图。如图所示,APC系统100可以包括第一加工工具111和第二加工工具112,控制器121耦接至它们每者。在操作期间,第一加工工具111对目标晶片执行第一过程,第二加工工具112在完成了第一过程之后对目标晶片执行第二过程。在第一加工工具111对目标晶片执行了第一过程之后,控制器121可以接收来自预测由第一过程得到的感兴趣晶片特征的模型(VM模型)的预测。基于该预测,控制器121可以调整对第二加工工具112的过程输入,并因而对第二加工工具112发出指令,从而对目标晶片执行经调整的第二过程。通过调整对第二加工工具112的过程输入,可以控制感兴趣的晶片特征在第二过程之后落入到预期范围内。在一些实施例中,控制器121还可以对第一加工工具111发出指令,以便对目标晶片执行第一过程。此外,接收器121可以接收来自第一加工工具111的实时数据142,并且将实时数据142发送至预测服务器132。
第一或第二过程可以包括任何半导体工艺,诸如等离子体蚀刻、外延、热氧化、离子注入、化学气相淀积、快速热退火、化学机械抛光、湿法清洁等。相应地,第一加工工具111和第二加工工具112可以包括制作过程中的任何对应半导体工具。第一工艺可以包括一组半导体工艺中的第一步骤或任何中间步骤,例如,前道工序加工、后道工序加工、光刻图案化、集成电路封装等。在一些实施例中,第一过程可以包括不同于第二过程的过程。因而第一加工工具111包括不同于第二加工工具112的工具。在其他实施例中,第一过程可以包括与第二过程相同的过程。因此,第一加工工具111可以包括与第二加工工具112相同的工具。此外,第一加工工具111和第二加工工具112还可以分别对目标批次或目标组次而非目标工件(即目标晶片)执行第一过程和第二过程。
如图1中所示,APC系统100可以进一步包括耦接至控制器121的预测服务器132。预测服务器132可以包括预测模型,其用于使用由对目标晶片执行第一过程而得到的实时数据预测由第一过程得到的目标晶片特征。在操作期间,可以通过先前第一过程的历史数据141更新预测模型的参数。因而,控制器121能够基于通过预测服务器132中的预测模型预测的目标晶片特征对第二加工工具112发出指令,使之对目标晶片执行经调整的第二过程。此外,实时数据142和历史数据141可以形成数据平台140。
在一些实施例中,APC系统100可以进一步包括模型训练服务器131,该服务器包括训练模型。模型训练服务器131可以使用历史数据141更新训练模型,从而使训练模型的参数与该预测模型同步。
在一些实施例中,可以从第一加工工具111加工的历史晶片收集历史数据141。例如,历史晶片可以包括跨越过去的十到三十天的周期的多个晶片。在一些实施例中,可以通过按照第一频率将实时数据142添加至历史数据141而更新历史数据141,并且可以基于更新的历史数据更新经训练的模型,使得预测模型按照该第一频率更新。可以按照第二频率使用该预测模型预测晶片结果。第二频率可以高于第一频率。例如,第一频率可以为大约每五分钟一次,甚至更频繁,第二频率可以处于每分钟几次到每秒钟几次的范围内。因此,通过将预测模型与训练模型分开并且频繁地使更新后的训练模型与预测模型同步,预测模型能够通过利用最新数据和学习最新工具性质/状态而有效地起着实时模型的作用。
仍然参考图1,应当指出,历史数据141可以包括由第一加工工具111收集的先前第一过程的制造数据,并且实时数据142可以包括第一加工工具111收集的由对目标晶片执行第一过程而得到的制造数据。在一些实施例中,历史数据141可以进一步包括由计量学工具收集的先前第一过程的计量学数据。计量学数据可以包括与第一加工工具111有关的或者由第一加工工具111产生的任何晶片特征。例如,计量学数据可以包括电特性(例如,电阻率、载流子迁移率、氧化物陷阱密度、接触电阻和其他寄生电阻等)、光学特性(例如,反射率、光学常数、吸收率和发射光谱等)和/或化学特性(例如,掺杂浓度、膜成分、晶体取向、晶粒大小等)等。相应地,计量学工具可以包括任何对应的测试或测量工具。在第一过程包括蚀刻工艺的实施例中,计量学数据可以包括临界尺寸(CD)或蚀刻速率(ER)。因此,计量学工具可以包括长度/深度测量工具,诸如原子力显微镜、透射/扫描电子显微镜、光学显微镜、轮廓曲线仪、光谱椭偏仪等。
图2是根据本公开的示例性实施例的第二APC系统200的框图。由于这里的APC系统200的示例性实施例与图1中的APC系统100的示例性实施例类似,因而所给出的解释将以不同之处为重点。
如图2所示,APC系统200可以包括第一加工工具211和第二加工工具212连同位于其间的多个控制器221(例如,221a-221c)。这多个控制器221可以经由缓冲器251(又称为broker)耦接至多个预测服务器232(232a-232c)。缓冲器251可以对来自多个预测服务器232的请求排队,并且采用可用控制器221。预测服务器232可以包括预测模型,所述预测模型用于使用由对目标晶片执行第一过程而得到的实时数据242预测由第一加工工具211执行的第一过程得到的目标晶片的特征,并且可以由先前第一过程的历史数据241更新预测模型的参数。因此,控制器221能够基于通过预测服务器232中的预测模型预测的目标晶片特征对第二加工工具212发出指令,使之对目标晶片执行经调整的第二过程。此外,APC系统200可以包括多个模型训练服务器231(例如,231a-231d),它们包括训练模型并且使用历史数据241更新训练模型,使得训练模型的参数与预测模型同步。
第一加工工具211、第二加工工具212、历史数据241和实时数据242可以分别对应于第一加工工具111、第二加工工具112、历史数据141和实时数据142。多个控制器221、多个模型训练服务器231和多个预测服务器232可以分别对应于控制器121、模型训练服务器131和预测服务器132。上文已经提供了描述,并且出于简单目的这里将省略这些描述。
在一些实施例中,控制器221可以对第一加工工具211发出指令,以便对目标晶片执行第一过程。此外,缓冲器251可以包括输入和输出部件,并因此可以充当控制器221和预测服务器232之间的接口。在一个实施例中,缓冲器251可以接收来自控制器221的实时数据242,并且将实时数据242发送至预测服务器232。在另一个实施例中,缓冲器251可以从预测服务器232接收由预测模型预测的目标晶片的特征,并且将由预测模型预测的目标晶片的特征发送至控制器221。
在一些实施例中,模型训练服务器231中的一者或多者是彼此的复制品。在一些实施例中,预测服务器232中的一者或多者是彼此的复制品。在一些实施例中,控制器221中的一者或多者是彼此的复制品。
在一些实施例中,模型训练服务器231中的一者或多者以及预测服务器232中的一者或多者可以形成群组。因此,该群组内的模型训练服务器231仅与该群组内的预测服务器232同步,并且该群组内的预测服务器232的参数仅由该群组内的模型训练服务器231更新。该群组可以用于执行特定任务或者处理特定数量的晶片。例如,模型训练服务器231a和预测服务器232a可以作为群组划分到一起,使得模型训练服务器231a仅与预测服务器232a同步,并且预测服务器232a的参数仅由模型训练服务器231a更新。此外,在大批量制造当中,可以形成多个群组。
图3A-3C示出了根据本公开的示例性实施例的处于由APC系统控制的各种机器运行批次上的半导体器件300的截面图。具体而言,图3A示出了由第一加工工具311执行第一过程之前的半导体器件300,图3B示出了处于第一过程之后并且处于第二加工工具312执行的第二过程之前的半导体器件300。图3C可以示出第二过程之后的半导体器件300。
在一些实施例中,第一加工工具311和第二加工工具312可以分别对应于第一加工工具111或211以及第二加工工具112或212。此外,这里的APC系统可以对应于APC系统100或APC系统200。因此,尽管未示出,但是这里的APC系统也可以包括一个或多个模型训练服务器、一个或多个预测服务器以及一个或多个控制器。在一些实施例中,这里的APC系统可以进一步包括对应于缓冲器251的缓冲器。
在这一示例中,第一过程和第二过程是两个蚀刻过程,因而第一加工工具311和第二加工工具可以包括两种蚀刻工具。如图3A中所示,半导体器件300可以包括衬底301以及处于衬底301之上的图案化层303。图案化层303可以包括光致抗蚀剂层或硬掩模层,并且具有为CD1的CD。帽盖层370和交替堆叠360可以布置在衬底301和图案化层303之间。交替堆叠360可以以字线层(或牺牲字线层)361和绝缘层363交替设置。半导体器件300可以用于形成垂直NAND器件。
在图3B中,可以由第一加工工具311对半导体器件300执行第一蚀刻过程。因此,图案被从图案化层303转移至帽盖层370,并且帽盖层370可以具有为CD2的CD。在一些实施例中,第一加工工具311是第一等离子体蚀刻工具。相应地,可以收集第一等离子体蚀刻工具的实时数据。该实时数据可以包括对半导体器件300执行的第一蚀刻过程的温度、蚀刻剂、压强、流速或过程时间中的至少一者。之后,预测模型可以使用实时数据预测CD2。预测的CD2可以大于、等于或小于CD1。接下来,控制器可以基于预测的CD2对第二加工工具312发出指令,从而对半导体器件300执行经调整的第二过程。
图3C可以示出经调整的第二过程之后的半导体器件300。如图所示,图案被进一步从帽盖层370转移至可以具有为CD3的CD的交替堆叠360。在一些实施例中,第二加工工具312是第二等离子体蚀刻工具。相应地,由控制器调整温度、蚀刻剂、压强、流速或过程时间中的至少一者,以执行经调整的第二过程。
注意,与APC系统100和200类似,可以由训练模型通过使用历史数据对这里的预测模型进行更新。历史数据可以包括先前第一过程的温度、蚀刻剂、压强、流速或过程时间中的至少一者。历史数据还可以包括由计量学工具测量的先前第一蚀刻过程的CD或ER中的至少一者。通过频繁地更新预测模型,预测模型能够在合理范围内给出CD2的准确估计,并因此带来预期CD3。
图4示出了根据本公开的示例性实施例的用于实施APC系统(诸如APC系统100和200)的示例性方法400的流程图。过程400开始于步骤S401,其中,使用第一加工工具对目标晶片执行第一过程。例如,第一过程可以是第一蚀刻过程,并且第一加工工具可以是第一蚀刻工具。
在步骤S402中,可以在预测服务器中基于历史数据更新预测模型。在一些实施例中,模型训练服务器中的训练模型可以利用历史数据受到更新,并且训练模型的参数与预测模型同步。在一些实施例中,可以通过按照一定频率将实时数据添加至历史数据而更新历史数据,并且可以基于更新的历史数据更新经训练的模型,使得预测模型按照该频率更新。例如,该频率可以是大约每五分钟一次或更高。
在步骤S403中,可以使用预测模型基于实时数据预测由第一过程得到的目标晶片的特征。在一些实施例中,目标晶片的预测特征可以被从预测服务器转移至缓冲器,缓冲器对来自预测服务器的请求排队,并且采用可用的控制器。
在步骤S404中,可以使用第二加工工具对目标晶片执行经调整的第二过程,第二加工工具受到从预测服务器接收目标晶片的预测特征并且调整对第二加工工具的过程输入的控制器的指令指示。例如,第二加工工具可以是第二蚀刻工具,并且经调整的第二过程可以是经调整的第二蚀刻过程。
应当指出,可以在过程400之前、期间和之后提供额外步骤,并且对于过程400的其他实施例而言可以对所描述的步骤中的一些予以替换、删除或者以不同顺序执行。例如,在步骤S401之前,可以使用第一加工工具加工多个历史晶片,并且可以收集关于这多个历史晶片的历史数据。此外,可以收集关于历史晶片的制造数据和计量学数据两者。
本文描述的各种实施例提供了几个优点。例如,使用历史数据频繁地更新模型,从而使预测模型能够俘获设备和反应室的最新状态并且做出可靠预测。缓冲器可以与预测服务器和控制器协作,并且提高大批量制造的效率。
本文使用的“器件”或“半导体器件”泛指任何适当器件,例如,存储电路、具有形成于其上的存储电路的半导体芯片(或裸片)、具有形成于其上的多个半导体裸片的半导体晶片、半导体芯片的堆叠、包括组装在封装衬底上的一个或多个半导体芯片的半导体封装等等。
本文使用的“衬底”或“目标衬底”泛指根据本发明受到加工的对象。衬底可以包括器件(尤其是半导体器件或其他器件)的任何材料部分或结构,并且可以(例如)是基础衬底结构,诸如半导体晶片、中间掩模或者位于基础衬底上或覆盖基础衬底结构的层(例如,薄膜)。因而,衬底不限于任何特定基础结构、下层或上覆层,不管是图案化的还是非图案化的;相反,可以将其设想为包括任何此类层或基础结构以及层和/或基础结构的任何组合。说明书可能涉及了特定类型的衬底,但是这只是出于例示的目的。
所述衬底可以是任何适当衬底,诸如硅(Si)衬底、锗(Ge)衬底、硅锗(SiGe)衬底和/或绝缘体上硅(SOI)衬底。衬底可以包括半导体材料,例如,IV族半导体、III-V族化合物半导体或者II-VI氧化物半导体。IV族半导体可以包括Si、Ge或SiGe。衬底可以是体块晶片或者外延层。
前文概述了几个实施例的特征,从而使本领域技术人员可以更好地理解本公开的各个方面。本领域技术人员应当认识到他们可以容易地使用本公开作为基础来设计或者修改其他的工艺过程或结构,以达到与文中介绍的实施例相同的目的和/或实现与之相同的优点。本领域技术人员还应当认识到这样的等价设计不脱离本公开的实质和范围,而且他们可以在其中做出各种变化、替换和更改,而不脱离本公开的实质和范围。

Claims (20)

1.一种先进过程控制(APC)系统,包括:
对目标晶片执行第一过程的第一加工工具;
在第一过程完成之后对所述目标晶片执行第二过程的第二加工工具;
包括预测模型的预测服务器,所述预测模型用于使用来自对所述目标晶片执行的所述第一过程的实时数据来预测由所述第一过程得到的所述目标晶片的特征,所述预测模型的参数被先前第一过程的历史数据更新;以及
耦接至所述第一加工工具和所述第二加工工具的控制器,其中,在所述第一加工工具对所述目标晶片执行所述第一过程之后,所述控制器基于由所述预测模型预测的所述目标晶片的特征对所述第二加工工具发出指令,使之对所述目标晶片执行经调整的第二过程。
2.根据权利要求1所述的APC系统,进一步包括模型训练服务器,其用于使用所述历史数据来更新训练模型从而使所述训练模型的参数与所述预测模型同步。
3.根据权利要求2所述的APC系统,其中:
所述历史数据是通过以一定频率将所述实时数据添加至所述历史数据而被更新的,并且
经训练的模型是基于更新后的历史数据被更新的,从而所述预测模型是以所述频率被更新的。
4.根据权利要求3所述的APC系统,其中,所述频率为大约每五分钟一次或更高。
5.根据权利要求1所述的APC系统,进一步包括缓冲器,所述缓冲器对来自预测服务器的请求排队并且采用可用控制器。
6.根据权利要求1所述的APC系统,其中:
所述历史数据包括由所述第一加工工具收集的先前第一过程的制造数据,并且
所述实时数据包括由所述第一加工工具收集的、由对所述目标晶片执行所述第一过程而得到的制造数据。
7.根据权利要求6所述的APC系统,其中,所述历史数据进一步包括先前第一过程的计量学数据。
8.根据权利要求1所述的APC系统,其中,由第一过程得到的所述目标晶片的预测特征包括临界尺寸(CD)或蚀刻速率(ER)中的至少一者。
9.根据权利要求8所述的APC系统,其中:
所述第一过程是蚀刻过程,并且
所述第一加工工具是蚀刻工具。
10.根据权利要求9所述的APC系统,其中:
所述历史数据包括先前第一过程的CD或ER中的至少一者以及先前第一过程的温度、蚀刻剂、压强、流速或过程时间中的至少一者,并且
所述实时数据包括对所述目标晶片执行的第一过程的温度、蚀刻剂、压强、流速或过程时间中的至少一者。
11.根据权利要求8所述的APC系统,其中:
所述第二过程是蚀刻过程,并且
所述第二工具是蚀刻工具。
12.根据权利要求11所述的APC系统,其中,由所述控制器调整温度、蚀刻剂、压强、流速或过程时间中的至少一者,以执行经调整的第二过程。
13.一种先进过程控制(APC)系统,包括:
对目标晶片执行第一过程的第一加工工具;
在第一过程完成之后对所述目标晶片执行第二过程的第二加工工具;以及
耦接至所述第一加工工具和所述第二加工工具的控制器,其中,在所述第一加工工具对所述目标晶片执行了所述第一过程之后,所述控制器基于由所述第一过程得到的所述目标晶片的特征对所述第二加工工具发出指令,使其对所述目标晶片执行经调整的第二过程,所述目标晶片的所述特征是由所述预测模型使用由对所述目标晶片执行的所述第一过程得到的实时数据预测出的,所述预测模型的参数被先前第一过程的历史数据更新。
14.一种用于实施APC系统的方法,所述方法包括:
使用第一加工工具对目标晶片执行第一过程;
在预测服务器中基于历史数据来更新预测模型;
使用所述预测模型基于实时数据来预测由所述第一过程得到的所述目标晶片的特征;以及
使用第二加工工具对所述目标晶片执行经调整的第二过程,所述第二加工工具受到从所述预测服务器接收所述目标晶片的预测特征并且调整对所述第二加工工具的过程输入的控制器的指令指示。
15.根据权利要求14所述的方法,其中,在所述预测服务器中基于所述历史数据来更新所述预测模型包括:
使用所述历史数据来更新模型训练服务器中的训练模型;以及
使所述训练模型的参数与所述预测模型进行同步。
16.根据权利要求15所述的方法,进一步包括:
通过以一定频率将所述实时数据添加至所述历史数据而对所述历史数据进行更新;以及
基于更新后的历史数据更新经训练的模型,从而使所述预测模型以所述频率被更新。
17.根据权利要求16所述的方法,其中,所述频率为大约每五分钟一次或更高。
18.根据权利要求14所述的方法,其中,在使用所述预测模型基于所述实时数据来预测由所述第一过程得到的所述目标晶片的特征之后,所述方法进一步包括:
将目标晶片的预测特征从所述预测服务器转移至缓冲器,所述缓冲器对来自所述预测服务器的请求排队,并且采用可用的控制器。
19.根据权利要求14所述的方法,进一步包括:
使用所述第一加工工具来加工多个历史晶片;以及
收集关于所述多个历史晶片的历史数据。
20.根据权利要求19所述的方法,其中,收集关于所述多个历史晶片的所述历史数据包括:
从所述第一加工工具收集关于所述历史晶片的制造数据;以及
从计量学工具收集关于所述历史晶片的计量学数据。
CN202080003985.7A 2020-11-20 2020-11-20 基于实时虚拟计量学的前馈式运行批次间晶片生产控制系统 Active CN113016060B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2020/130422 WO2022104699A1 (en) 2020-11-20 2020-11-20 Feed-forward run-to-run wafer production control system based on real-time virtual metrology

Publications (2)

Publication Number Publication Date
CN113016060A true CN113016060A (zh) 2021-06-22
CN113016060B CN113016060B (zh) 2024-05-24

Family

ID=76384126

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080003985.7A Active CN113016060B (zh) 2020-11-20 2020-11-20 基于实时虚拟计量学的前馈式运行批次间晶片生产控制系统

Country Status (3)

Country Link
US (1) US20220165626A1 (zh)
CN (1) CN113016060B (zh)
WO (1) WO2022104699A1 (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060189009A1 (en) * 2005-02-23 2006-08-24 Samsung Electronics Co., Ltd. Apparatus for controlling semiconductor manufacturing process
US20100292824A1 (en) * 2009-05-13 2010-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a wafer acceptance test ("wat") advanced process control ("apc") with novel sampling policy and architecture
CN101908495A (zh) * 2009-06-05 2010-12-08 台湾积体电路制造股份有限公司 虚拟测量先进工艺控制系统和设置方法
US20140031969A1 (en) * 2012-07-25 2014-01-30 International Business Machines Corporation Run-to-Run Control Utilizing Virtual Metrology in Semiconductor Manufacturing

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070260350A1 (en) * 2004-08-20 2007-11-08 Maxim Zagrebnov Method for Improving Efficiency of a Manufacturing Process Such as a Semiconductor Fab Process
US7820553B2 (en) * 2005-07-20 2010-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Prevention of trench photoresist scum
CN103050421A (zh) * 2011-10-17 2013-04-17 中芯国际集成电路制造(上海)有限公司 刻蚀控制方法
US9887095B2 (en) * 2013-03-12 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for an etch process with silicon concentration control
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US11334070B2 (en) * 2017-08-10 2022-05-17 Patroness, LLC Systems and methods for predictions of state of objects for a motorized mobile system
US10930531B2 (en) * 2018-10-09 2021-02-23 Applied Materials, Inc. Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
CN109659266B (zh) * 2018-12-19 2020-11-24 上海华力微电子有限公司 一种提高刻蚀腔电流稳定性的方法
US11514925B2 (en) * 2020-04-30 2022-11-29 Adobe Inc. Using a predictive model to automatically enhance audio having various audio quality issues

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060189009A1 (en) * 2005-02-23 2006-08-24 Samsung Electronics Co., Ltd. Apparatus for controlling semiconductor manufacturing process
US20100292824A1 (en) * 2009-05-13 2010-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a wafer acceptance test ("wat") advanced process control ("apc") with novel sampling policy and architecture
CN101908495A (zh) * 2009-06-05 2010-12-08 台湾积体电路制造股份有限公司 虚拟测量先进工艺控制系统和设置方法
US20140031969A1 (en) * 2012-07-25 2014-01-30 International Business Machines Corporation Run-to-Run Control Utilizing Virtual Metrology in Semiconductor Manufacturing

Also Published As

Publication number Publication date
US20220165626A1 (en) 2022-05-26
WO2022104699A1 (en) 2022-05-27
CN113016060B (zh) 2024-05-24

Similar Documents

Publication Publication Date Title
US7144297B2 (en) Method and apparatus to enable accurate wafer prediction
CN106444365B (zh) 晶圆刻蚀的控制方法及晶圆制造方法
US8352062B2 (en) Advanced process control for gate profile control
US8396583B2 (en) Method and system for implementing virtual metrology in semiconductor fabrication
US7373216B1 (en) Method and apparatus for verifying a site-dependent wafer
KR101380515B1 (ko) 반도체 제조의 fab 프로세스를 개선하기 위한 툴 기능의 신규한 설계
US20080241969A1 (en) In-line lithography and etch system
CN101036092B (zh) 动态控制量测中的工件的方法及系统
KR20030076672A (ko) 식각 선택도를 제어하기 위한 방법 및 장치
US7650200B2 (en) Method and apparatus for creating a site-dependent evaluation library
US7596423B2 (en) Method and apparatus for verifying a site-dependent procedure
US20230352445A1 (en) Wafer bonding alignment
CN111190393B (zh) 半导体制程自动化控制方法及装置
KR20200123847A (ko) 통합된 단부-대-단부 게이트 콘택 프로세스를 위한 플랫폼 및 동작 방법
US20110320026A1 (en) System and method for data mining and feature tracking for fab-wide prediction and control
TWI825209B (zh) 微電子元件製造用的系統和方法
CN115812244A (zh) 用于晶圆到晶圆键合的设备和方法
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
CN113016060B (zh) 基于实时虚拟计量学的前馈式运行批次间晶片生产控制系统
KR101475967B1 (ko) 인라인 리소그래피 및 에칭 시스템에서의 복수의 웨이퍼 처리 방법 및 웨이퍼 처리 플랫폼
US7783374B2 (en) Method and apparatus for performing a site-dependent dual damascene procedure
KR20050065663A (ko) 첫 번째-원칙 피드-포워드 제조 제어를 제공하기 위한 방법및 장치
US20080241971A1 (en) Method and apparatus for performing a site-dependent dual patterning procedure
US7263408B1 (en) Method and system for converting tool process ability based upon work in progress characteristics
US10622266B2 (en) Methods of identifying space within integrated circuit structure as mandrel space or non-mandrel space

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant