CN112753097A - Multiplexed high TCR-based ampoule heaters - Google Patents

Multiplexed high TCR-based ampoule heaters Download PDF

Info

Publication number
CN112753097A
CN112753097A CN201980062821.9A CN201980062821A CN112753097A CN 112753097 A CN112753097 A CN 112753097A CN 201980062821 A CN201980062821 A CN 201980062821A CN 112753097 A CN112753097 A CN 112753097A
Authority
CN
China
Prior art keywords
heater
heaters
temperature
group
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980062821.9A
Other languages
Chinese (zh)
Inventor
拉梅什·钱德拉塞卡拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112753097A publication Critical patent/CN112753097A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation

Abstract

A system for heating a component of a substrate processing system includes a controller and a plurality of heaters disposed at a plurality of locations along a fluid flow path from a fluid source to a destination in the substrate processing system. The controller is configured to: grouping the plurality of heaters into a plurality of heater groups. Each heater group includes at least one of the plurality of heaters. The controller is further configured to: determining a temperature gradient to be maintained between the plurality of heater groups. The controller is further configured to: selecting a heater group from the plurality of heater groups; and controlling power supplied to the selected heater group to maintain the temperature gradient among the plurality of heater groups.

Description

Multiplexed high TCR-based ampoule heaters
Cross Reference to Related Applications
This application claims priority from U.S. patent application No.62/735,464, filed 24.9.2018. The above-referenced application is incorporated by reference herein in its entirety.
Technical Field
The background description provided herein is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
Background
The present disclosure relates generally to substrate processing systems and, more particularly, to a multiplexed high Temperature Coefficient of Resistance (TCR) -based ampoule heater for a substrate processing system.
The substrate processing system may be used to perform etching, deposition, and/or other processing of a substrate, such as a semiconductor wafer. Examples of processes that may be performed on the substrate include, but are not limited to, Chemical Vapor Deposition (CVD), Plasma Enhanced Chemical Vapor Deposition (PECVD), Atomic Layer Deposition (ALD), Atomic Layer Etching (ALE), Plasma Enhanced Atomic Layer Deposition (PEALD), and/or other etching, deposition, and cleaning processes. During processing, a substrate is placed on a substrate support (e.g., pedestal, electrostatic chuck (ESC), etc.) in a process chamber of a substrate processing system. A mixture of process gases is introduced into the process chamber to process the substrate. In some examples, a plasma may be ignited to facilitate chemical reactions within the processing chamber.
The gas supply line supplies a gas mixture to the process chamber. If the temperature of the gas mixture in the gas supply line is not carefully controlled, condensation of the gas mixture may occur on the walls of the gas supply line. Condensation of the gas mixture can cause defects and is often difficult to remove.
Disclosure of Invention
A system for heating a component of a substrate processing system includes a controller and a plurality of heaters disposed at a plurality of locations along a fluid flow path from a fluid source to a destination in the substrate processing system. The controller is configured to: grouping the plurality of heaters into a plurality of heater groups. Each heater group includes at least one of the plurality of heaters. The controller is further configured to: determining a temperature gradient to be maintained between the plurality of heater groups. The controller is further configured to: selecting a heater group from the plurality of heater groups; and controlling power supplied to the selected heater group to maintain the temperature gradient among the plurality of heater groups.
In other features, the controller is further configured to group the plurality of heaters into the plurality of heater groups based on a geometric configuration of the component in the fluid flow path from the fluid source to the destination in the substrate processing system. The components include piping and valves.
In other features, each of the plurality of heaters has a resistance that varies with temperature, and the controller is further configured to: measuring the resistance of the heaters in the selected group of heaters. The controller is further configured to: determining a temperature of the heater in the selected heater group based on the resistance of the heater in the selected heater group. The controller is further configured to: controlling power supplied to the selected heater group based on the determined temperatures of the heaters in the selected heater group and the temperature gradient.
In other features, each of the plurality of heaters has a resistance that varies with temperature, and the controller is further configured to: determining a desired temperature of heaters in the selected heater group based on the temperature gradient to be maintained between the plurality of heater groups. The controller is further configured to: determining a desired resistance value for the heater in the selected heater group based on the desired temperature for the heater in the selected heater group. The controller is further configured to: measuring the resistance of the heaters in the selected group of heaters. The controller is further configured to: controlling power supplied to the selected heater group based on the measured resistance of the heaters in the selected heater group until the heaters in the selected heater group have the desired resistance value.
In other features, each of the plurality of heaters has a resistance that varies with temperature, and the controller is further configured to: determining a desired temperature of a heater of the selected heater group and another heater group of the plurality of heater groups based on the temperature gradient to be maintained between the plurality of heater groups. The controller is further configured to: determining a desired resistance value for the heater in the selected heater group and a heater in the other heater group based on the desired temperatures for the heaters in the selected heater group. The controller is further configured to: determining a ratio between the desired resistance value of the heater in the selected heater group and the desired resistance value of the heater in the other heater group. The controller is further configured to: measuring the resistance of the heaters in the selected group of heaters. The controller is further configured to: controlling power supplied to the selected heater group based on the measured resistance of the heaters in the selected heater group to maintain the ratio between the desired resistance value of the heater in the selected heater group and the desired resistance value of the heater in the other heater group.
In other features, the system further comprises: a temperature sensor configured to sense a temperature of fluid in the source. The controller is further configured to stop the system when the temperature is greater than a first threshold or less than a second threshold, wherein the first threshold is greater than the second threshold to ensure that the system operates when the temperature is between the first threshold and the second threshold.
In other features, the system further comprises: a current sensor for sensing a current supplied to each of the plurality of heaters. The controller is configured to determine a resistance of each of the plurality of heaters based on the current.
In other features, the system further comprises: a voltage sensor for sensing a voltage supplied to each of the plurality of heaters. The controller is configured to determine a resistance of each of the plurality of heaters based on the voltage.
In other features, the system further comprises: a driver for driving the selected heater group based on a duty cycle; and a resistance estimator for estimating a resistance of the heater group based on the duty cycle. The controller is also configured to determine a temperature of the selected heater group based on the resistance.
In other features, the controller is configured to provide a progressive heating profile between the plurality of groups of heaters from the fluid source to the destination. The destination includes a process chamber for processing semiconductor substrates in the substrate processing system.
In still other features, a system for heating a component of a substrate processing system comprises an oven for enclosing one or more components of the substrate processing system and maintaining a predetermined temperature in the oven. The system also includes a plurality of heaters disposed in the oven to heat the one or more components of the substrate processing system. The plurality of heaters includes uninsulated resistive heaters. The controller is configured to: grouping the plurality of heaters into a plurality of heater groups. Each heater group includes at least one of the plurality of heaters. The controller is configured to: controlling power supplied to the plurality of heater groups by selecting one heater group at a time from the plurality of heater groups to maintain a temperature gradient between the plurality of heater groups and to maintain the predetermined temperature in a localized area in the oven.
In other features, the system further comprises: a temperature sensor located remote from the plurality of heaters to sense a temperature in the oven. The oven contains a heating element. The controller is also configured to determine an average temperature in the oven based on the sensed temperature, and to control the heating element in the oven to maintain the predetermined temperature based on the average temperature.
In another feature, the predetermined temperature is an ambient temperature.
In other features, each of the plurality of heaters has a resistance that varies with temperature, and the controller is further configured to: the resistance of the heaters in the selected heater group is measured. The controller is further configured to: determining a temperature of the heater in the selected heater group based on the resistance of the heater in the selected heater group. The controller is further configured to: controlling power supplied to the selected heater group based on the determined temperatures of the heaters in the selected heater group and the temperature gradient.
In other features, each of the plurality of heaters has a resistance that varies with temperature, and the controller is further configured to: determining a desired temperature of heaters in the selected heater group based on the temperature gradient to be maintained between the plurality of heater groups. The controller is further configured to: determining a desired resistance value for the heater in the selected heater group based on the desired temperature for the heater in the selected heater group. The controller is further configured to: measuring the resistance of the heaters in the selected group of heaters. The controller is further configured to: controlling power supplied to the selected heater group based on the measured resistance of the heaters in the selected heater group until the heaters in the selected heater group have the desired resistance value.
In other features, each of the plurality of heaters has a resistance that varies with temperature, and the controller is configured to: determining a desired temperature of a heater in the selected heater group and another heater group in the plurality of heater groups based on the temperature gradient to be maintained between the plurality of heater groups. The controller is further configured to: determining a desired resistance value for the heater in the selected heater group and a heater in the other heater group based on the desired temperatures for the heaters in the selected heater group. The controller is further configured to: determining a ratio between the desired resistance value of the heater in the selected heater group and the desired resistance value of the heater in the other heater group. The controller is further configured to: measuring the resistance of the heaters in the selected group of heaters. The controller is further configured to: controlling power supplied to the selected heater group based on the measured resistance of the heaters in the selected heater group to maintain the ratio between the desired resistance value of the heater in the selected heater group and the desired resistance value of the heater in the other heater group.
In another feature, the ratio is determined at the predetermined temperature.
In another feature, the controller is further configured to adjust the ratio to provide progressive heating between the plurality of heater groups.
In other features, the controller is further configured to group the plurality of heaters into the plurality of heater groups based on a geometric configuration of the one or more components. The components include conduits and valves in a fluid flow path from a fluid source to a process chamber in the substrate processing system.
Further scope of applicability of the present disclosure will become apparent from the detailed description, claims and drawings. The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the present disclosure.
Drawings
The present disclosure will become more fully understood from the detailed description and the accompanying drawings, wherein:
FIG. 1A is a functional block diagram of one example of a substrate processing system according to the present disclosure;
FIG. 1B illustrates one example of a multi-disk vaporized precursor delivery system according to the present disclosure;
fig. 2A-2D are functional block diagrams of examples of heating systems according to the present disclosure;
FIG. 3 is a graph showing temperature as a function of length along a gas flow path to a process chamber;
FIG. 4 shows one example of a heater zone containing a thermocouple;
FIGS. 5 and 6 are graphs showing temperature as a function of length along the gas flow path in a segment;
FIGS. 7 and 8 are flow charts illustrating an example of a method of controlling the temperature of components along a gas flow path using multiple heater sections heated in a multiplexed manner in accordance with the present disclosure;
FIG. 9 is a functional block diagram of a heating system including an oven surrounding a gas flow path and an uninsulated TCR heater disposed in one or more locations; and
fig. 10 is a flow chart of a method for operating the heating system of fig. 9.
In the drawings, reference numbers may be repeated to identify similar and/or identical elements.
Detailed Description
A number of heating regimes may be utilised to mitigate condensation in the gas lines. For example, progressive heating may be used to overcome the risk of condensation in the gas line. However, the temperature measured at a location along the gas line with the thermocouple cannot capture the load-based changes across the entire heater section. Changes in pressure and/or load due to expansion, valving, etc. may result in localized temperature changes. Furthermore, a conduit/heater designed for one application is typically used for another application and the temperature profile may vary. If the thermocouple is located at the location of the pressure drop/expansion, the thermocouple will sense the low temperature and the gas line will be heated hotter than expected. If the thermocouple is located far from the pressure drop, localized cooling may occur. One solution is to increase the number of heater sections. However, this solution increases the cost and operational capacity challenges due to the presence of more connections. Alternatively, two different heating regimes may be used to solve the above problem: progressive heating, wherein each heater section is independently controlled; or multiplexed heating, where one group of heater segments is controlled at a time, and where multiplexed control is utilized to control several groups of heater segments. The respective heating methods are explained below.
In progressive heating, a heating system for a gas delivery system uses a heater comprising a heater element made of a Temperature Coefficient of Resistance (TCR) material. In some examples, the heater element has a high TCR of greater than 0.001 ppm/c. For example only, molybdenum or tungsten (W) heater elements may be used. In other examples, the heater element has a lower TCR of less than 0.001 ppm/c. By way of example only, copper or nickel may be used. Throughout this disclosure, the heater element is also referred to as a TCR heater element, a TCR heater, or a resistive heater. The resistance of the TCR heater can be measured to provide an average temperature in the heater section. A controller may be used to correlate resistance to temperature using a look-up table or formula. The temperature in each zone may also be monitored using a Thermocouple (TC) to provide a local temperature (representative of a point location in the heater zone). In some examples, TCs may be located at the beginning or end of a heater section to measure the sensed temperature at that location. The combination of primary control and secondary override/monitoring may be performed using feedback from the TCR heaters and TCs in each heater section.
In a first scenario, the temperature sensed by the TC may be used as a control set point for the heater section, and the average temperature sensed by the TCR heater may be used for monitoring/override (override). The controller may use a default duty cycle if the average temperature of the heater section falls below or above a certain value. Acceptable ranges of average values may be specified on a per heater segment basis to increase progressively in cascade or monotonically along the gas flow path. In some examples, the TCR heater uses a high TCR element. In other examples, the TCR heater uses low TCR elements since the average value is used as a safety check/override point.
In a second approach, the average temperature sensed by the TCR heater can be used as the control variable. If a local temperature drop is caused in a portion of the heater section due to expansion-related cooling, the entire heater section may be heated hotter. In some examples, local TCs may be placed near the flare point for monitoring and override, if desired. If cooling occurs at the location monitored by the local TC, a limit stop (limit stop) may be triggered and heat may be increased in advance.
In both of the above methods, the average temperature ensures progressive heating. Local temperature measurements from local TCs enable the heating system to react to local changes. The control system described above avoids excessive reaction due to the heating system, which may result in excessive heating in the heater section. In some examples, fewer heater sections may be used to cover a larger area. In other examples, TCs may be provided only at the intended expansion section to address a particular risk of coagulation, with the remainder of the heating area being part of a single large section.
Although the above method recognizes changes in temperature distribution due to changes in pressure, the above method does not take into account possible phase changes (particularly when the fluid level changes). For example, if a temperature is sensed at a location, the sensed temperature is not indicative of a phase change that may occur upstream or downstream of the location. Thus, supplying heat to the location based on the sensed temperature may result in an insufficient supply of heat to the section covered by the location.
The present disclosure proposes multiplexed heating that solves the following problems: the temperature response to phase change may be poor with less TCs/segment. According to the multiplexed heating scheme, if a phase change is detected anywhere across a segment, i.e., if a segment is trying to maintain its temperature, the temperature of the segment can be corrected regardless of the location of the temperature drop within the segment. In contrast, in other heating architectures, if a TC is used to sense the temperature at a particular location, the TC may miss one or more locations where heat loss occurs near the TC.
Generally, phase change occurs in a narrow region. In a narrow area, if the temperature drops at a specific location, the temperature change at that location cannot be detected unless there is a TC bit at that location. However, it may be impractical to install TCs at multiple locations. Alternatively, in accordance with the present disclosure, a segment may be configured to cover a general region where a phase change is expected to occur, relative to configuring the segment at a particular location or point where temperature is sensed. A segment constructed to cover a general area will detect a phase change within the segment, wherever the phase change occurs within the segment.
Typically, 2 to 4 sections (e.g., one heater section for each of the body, cover, and valve of the ampoule; or in some examples two heater sections for the body) are utilized to control the supply of the ampoule of vaporized precursor. A typical ampoule has a region of significant heat transfer where a phase change due to evaporation from a liquid or solid to a vapor generally occurs. In other vaporized precursor delivery systems (see, for example, the example of a system comprising multiple disks shown in FIG. 1B), there may be multiple locations where a phase change may occur. As described above, the temperature response to phase change may be poor with less TCs/segment (especially when the fluid level changes). Furthermore, from a cost and complexity perspective, it may become impractical to install multiple TCs/sectors with conventional control.
The present disclosure proposes the use of a multi-segment heater array made of high TCR elements with multiple nodes. Instead of controlling each heater independently, the present disclosure proposes multiplexing (multiplexing) multiple nodes. First, progressive heating using a high TCR material to sense and control the heater is described below. The use of TCR-based control is then extended to multiplexed multi-zone heating systems specifically applied to fluid delivery systems.
As described in detail below, the heater segments are grouped in an imaginary grid-like structure, where the number of nodes in each row and column of the grid-like structure is fixed. That is, the heater segments are not actually arranged in a grid, but are distributed into different groups, where each group is considered a row in an array. The heaters in the various rows are controlled together (i.e., collectively) one row at a time, so that a temperature gradient can be established and controlled across the rows. The number of control points is reduced by controlling a group of nodes in a row at a time, as opposed to controlling the nodes independently. The selection and grouping of the nodes in the row depends on the geometry of the heating system. The supply path from the exit point of the ampoule to the entry point of the process chamber may be divided into quadrants. Each quadrant may include multiple nodes (i.e., TCR heaters). Each quadrant can be controlled as a row, so a temperature gradient can be established and controlled across the rows.
The temperature of a node in a group (e.g., in a row) can be measured by measuring the resistance value of a TCR element mounted at the node. Based on the measured temperature of the nodes in the group, the amount of heat supplied to the nodes in the group may be controlled. The groups of nodes may be controlled in sequence or in any order to provide a temperature gradient between the groups. For example, the amount of heat supplied to the node group may be increased as the distance between the node group and the ampoule increases. Thus, the control variable is essentially the temperature calculated from the measured resistance values of the TCR elements in the node group. Heating of the nodes may then be controlled by measuring the temperature of the group of nodes and controlling the amount of heat supplied to the group of nodes, one group at a time, based on the measured temperature of the nodes in the group. Further, based on the temperature measurements, a temperature range for the group of nodes may be determined. Based on the temperature range, grouping of nodes, control of various groups of nodes (i.e., heat supply), or both may be managed. Due to the grouping of nodes and the group-based heating control of the nodes in a multiplexed manner, the heating operation can cope with phase changes that may occur at locations between the nodes.
The temperature gradient between the groups of nodes can be used to define a target resistance value for the heaters in each row to be achieved via control. For example, assume that the temperature from the first set to the last set of nodes can (and is expected to) vary between X to Y degrees with a temperature gradient of Z degrees per row (Y > X), where Z is equal to the difference between Y and X divided by the number of rows. Based on the desired temperature or set point for each row, a target resistance value for the TCR element in each row can be known/determined (from the temperature-resistance characteristics of the TCR element). The amount of heat supplied to the TCR elements in each row can then be controlled to achieve/maintain the desired resistance value (and thus the desired temperature set point). Alternatively, the temperature gradient may be used to define a ratio of two to be achieved via heating control: the resistance of the TCR elements in one row and the resistance of the TCR elements in the other row. Here, the resistance values of all nodes in one row may be averaged for each row. Again, the ratio of the average resistance values of the TCR elements between the two rows can be known/determined based on the desired temperatures (i.e., setpoints) of the two rows, and the heat supply to the TCR elements in the two rows can be controlled so that the desired relationship between the setpoints of the two rows can be reached/maintained.
Some form of cold offset/ratio calculation may be included in the control to account for manufacturing offset of the heater. For example, the TCR element can be corrected in situ to account for interface losses (e.g., due to an air gap between the TCR element and the material of the node where the TCR element is mounted). In addition, the TC in contact with the fluid (typically available in an ampoule) can be used as a reference for the calibration (temperature-resistance calibration) of the TCR element. In addition, TC may also be used to set a minimum temperature for the entire ampoule, which may also serve as an over-temperature/safety feature.
Thus, the present disclosure relates to multiplexing using high TCR heaters, in particular, the goal of the multiplexing is to set the resistance ratio to control the temperature gradient between groups of heaters. The present disclosure also relates to using multiplexing to control the temperature gradient of systems using multi-surface ampoules. The present disclosure also relates to utilizing multiplexing to cope with regions of phase change and achieve progressive heating even in the event of fluid level changes. These and other aspects of the disclosure will now be described in detail.
Fig. 1A shows an exemplary substrate processing system 20. Although a Chemical Vapor Deposition (CVD) or Atomic Layer Deposition (ALD) process chamber using capacitively-coupled plasma (CCP) is shown for illustration purposes, any other type of substrate processing system may be used. Substrate processing system 20 comprises a process chamber 22, process chamber 22 enclosing the other components of substrate processing system 20 and containing the RF plasma (if used). The substrate processing system 20 includes an upper electrode 24 and a substrate support 26 (e.g., an electrostatic chuck (ESC), pedestal, etc.). During operation, a substrate 28 is disposed on the substrate support 26.
For example only, the upper electrode 24 may include a gas distribution device 29 (e.g., a showerhead) that introduces and distributes process gas. The gas distribution device 29 can comprise a stem portion that includes one end that is coupled to the top surface of the process chamber. The base portion is generally cylindrical and extends radially outwardly from the other end of the stem portion (at a location spaced from the top surface of the treatment chamber). The substrate-facing surface or face plate of the base of showerhead 29 contains a plurality of holes through which precursors, reactants, etch gases, inert gases, carrier gases, and other process or purge gases flow. Alternatively, the upper electrode 24 may comprise a conductive plate, and the process gas may be introduced via another means.
The substrate support 26 includes a base plate 30, which serves as a lower electrode. The base plate 30 supports a heating plate 32, which heating plate 32 may correspond to a ceramic multi-segment heating plate. A thermal resistance layer 34 may be disposed between the heater plate 32 and the substrate 30. The substrate 30 may include one or more channels 36 for flowing a coolant through the substrate 30.
If a plasma is used, RF generation system 40 generates and outputs an RF voltage to one of upper electrode 24 and lower electrode (e.g., base plate 30 of substrate support 26). The other of the upper electrode 24 and the substrate 30 may be DC grounded, AC grounded, or floating. For example only, the RF generation system 40 may include an RF generator 42 that generates RF power that is fed to the upper electrode 24 or the substrate 30 through a matching and distribution network 44. In other examples, the plasma may be generated inductively or remotely.
Gas delivery system 50 includes one or more gas sources 52-1, 52-2, …, and 52-N (collectively gas sources 52), where N is an integer greater than zero. Through master valves 54-1, 54-2, · and 54-N (collectively master valves 54); MFCs 56-1, 56-2, …, and 56-N (collectively MFCs 56); and/or a secondary valve (not shown) connects gas source 52 to manifold 60. Although a single gas delivery system 50 is shown, two or more gas delivery systems may be used.
The temperature controller 63 is connected to a plurality of resistance heaters 64 provided in the heating plate 32. The temperature controller 63 may also be connected to one or more thermocouples 65 in the heating plate 32. Temperature controller 63 may be used to control a plurality of resistive heaters 64 to regulate and control the temperature of substrate support member 26 and substrate 28. In some examples, the vapor delivery system 67 supplies vapor to the process chamber.
In some examples, the temperature controller 63 and/or another controller may also be in communication with the coolant assembly 66 to control the coolant flowing through the channels 36. For example, the coolant assembly 66 may include a coolant pump, a reservoir, and/or one or more thermocouples. In some examples, the temperature controller 63 operates the coolant assembly 66 to selectively flow coolant through the channels 36 to cool the substrate support 26. A valve 70 and pump 72 may be used to evacuate the reactants from the process chamber 22. A system controller 80 can be used to control the components of the substrate processing system 20.
Fig. 1B shows an example of a vaporized precursor delivery system 100 that supplies vaporized precursor to a process chamber (e.g., process chamber 22 of fig. 1A) for processing a substrate (e.g., a semiconductor wafer). In some examples, a flow control device 106 (e.g., a valve, a restrictive orifice, or a Mass Flow Controller (MFC)) may be used to control the supply of vaporized precursor to the process chamber 22.
The vaporized precursor delivery system 100 includes a housing 108 and a disk assembly 110 disposed in the housing 108. The disk assembly 110 contains a plurality of disks 112-1, 112-2, …, and 112-N (collectively disks 112). Each of the disks 112 may include openings 114-1, 114-2, and 114-N (collectively openings 114) to provide mounting locations for connection to the support members 120. Alternatively, the support member 120 may be omitted and other support mechanisms may be used. For example, the disk 112 may be supported by a side of the housing 108 (e.g., using a groove or protrusion), or a spacer between edges of the disk 112 may be used. The sides of the disk 112 are open to allow the carrier gas to flow freely therebetween. For example, the disk 112 may have a circular, square, rectangular, uniform, non-uniform, or other shaped cross-section. The trays 112 may be arranged in a stacked, evenly spaced arrangement to allow the carrier gas to flow freely through the liquid precursor. Each of the trays 112 defines a volume for receiving and storing a liquid precursor. In some examples, the support member 120 and the disk 112 may be made of a thermally conductive material, such as stainless steel, aluminum, or other material that allows for heat transfer.
Liquid precursor reservoir 130 supplies liquid precursor to tray 112 via valve 134 and one or more channels 140. Gravity, a pump, or an inert propellant gas (e.g., helium) may be used to increase the line pressure. The conduit 140 may pass through an opening of each of the disks 112. Openings 142-1, 142-2, and 142-N in conduit 140 are provided to supply liquid precursor to each of trays 112-1, 112-2, …, and 112-N, respectively.
The liquid precursor reservoir 130 can be periodically filled through bulk storage tank 150 and utilizing valve 152 and conduit 154. The carrier gas 162 may be supplied through one or more valves and/or Mass Flow Controllers (MFCs)164 and piping 166. The conduit 166 includes one or more restricted openings or groups of restricted openings configured to direct the carrier gas through each of the disks 112. Each of the sets of openings may include a plurality of openings that provide a flow of carrier gas in a plurality of directions. Openings 170-1, 170-2, 170-N in conduit 166 convey the flow of carrier gas over tray 112.
In some examples, the heater 180 may be used to indirectly heat the support member 120, the support member 120 transferring heat to the tray 112 and the liquid precursor in the tray 112. Alternatively, the heater may be provided inside the support member. In some examples, one or more vibration devices 184 may be used to apply vibrations to support member 120 (as shown) or separately to disks 112-1, 112-2.
The controller 190 may be used to control one or more of the valves in the vaporized precursor delivery system 100. For example, the controller 190 may control the flow control device 106 to adjust the amount of vaporized precursor delivered to the process chamber 22. The pressure sensor 196 provides pressure feedback to the controller 190, and the controller 190 controls the flow control device 106 and the one or more valves and/or MFCs 164. The controller 190 may be connected to one or more level sensors 194 to sense the level of the liquid precursor in one or more of the trays 112. Based on the sensed level of liquid precursor in one or more of trays 112, controller 190 may be utilized to control valve 134 to supply additional liquid precursor. Controller 190 may be used to control one or more valves and/or MFCs164 to regulate the flow of carrier gas throughout disk 112. Controller 190 may be connected to one or more level sensors 198 to sense the level of liquid precursor in liquid precursor reservoir 130. Based on the sensed level of liquid precursor reservoir 130, controller 190 may be utilized to control valve 134 to supply additional liquid precursor to refill liquid precursor reservoir 130.
FIG. 2A shows a heating system of a vapor delivery system (e.g., assembly 67 shown in FIG. 1A or assembly 100 shown in FIG. 1B) according to the present disclosure. Although the heating system shown in fig. 2A includes an ampoule 200 for supplying vaporized precursor, the heating system may heat other components of the substrate processing system. A temperature sensor 214, such as a thermocouple, monitors the temperature of the precursor. Heater 218 is used to heat the liquid precursor based on the sensed temperature and the desired temperature. Controller 80 or other controller may be used to monitor temperature sensor 214 and control heater 218 based on the measured temperature and the desired temperature.
Valves V214, V205, and V213 selectively supply a carrier gas or a mixture of a carrier gas and a vaporized precursor to the gas flow path. Additional valves V220, V206A, V206B, V71, V55, V79, V65, V164, and V207 are provided to control the flow of gas along the various gas flow paths. A plurality of heater sections 250-1, 250-2,.., 250-N (collectively heater sections 250), where N is an integer greater than 1, are used to heat gas lines, valves, and/or other components along the gas flow path.
Fig. 2B shows an exemplary arrangement of heater segments 250. For example, the heater zones 250 may be grouped in rows (e.g., Rl, R2, R3, and R4). For example, a first row (e.g., R1) may include heater segments 250 closest to ampoule 200, while a last row (e.g., R4) may include heater segments 250 furthest from ampoule 100 (i.e., closest to process chamber 22). Each heater section 250 may include a TCR heater 283. With the multiplexed control effected by the selector 286 under the control of the controller 280 (shown in fig. 2C and 2D and described below), the heater sections 250 can be controlled one row at a time to maintain a temperature gradient that gradually increases in temperature from the first row (e.g., R1) to the last row (e.g., R4).
Fig. 2C shows a controller 280 that may be used in conjunction with a selector 286 to control the operation of the heater section 250. Heater driver 282 may be used to supply power to the selected row of TCR heaters 283 under the control of controller 280. Current sensor 288 can be used to sense the current supplied to the TCR heater by heater driver 282. A voltage sensor 290 may be used to sense the voltage supplied to the TCR heater by heater driver 282.
Fig. 2D shows controller 280 utilizing resistance estimator 294 to monitor the duty cycle of heater segment 250 and estimate the resistance of heater segment 250 based on the corresponding duty cycle. In this example, the voltage or current is assumed to be a constant value, and the duty cycle of the current or voltage is varied. In other words, the controller 280 estimates the resistance based on the known voltage or current, and the duty cycle of the current or voltage. Thus, in this example, current sensor 288 and voltage sensor 290 are omitted.
In fig. 2C and 2D, the controller 280 controls the TCR heaters 283 in the heater section 250 as follows. The controller 280 utilizes a selector 286 to select a row of heater segments 250 (e.g., any of the rows Rl, R2, etc. shown in fig. 2B). Heater driver 282 is used to supply power to TCR heaters 283 in the selected row of heater segments 250.
The controller 280 collectively controls the TCR heaters 283 in selected rows so that a temperature gradient can be established and controlled between the rows. By controlling the TCR heaters 283 one selected row at a time, the number of control points is reduced. The controller 280 selects and groups the heater segments 250 by rows depending on the geometry of the heating system. For example, the geometry may include the distance of the path length between ampoule 200 and process chamber 22, the number of valves, and the size and shape of the tubing in the path, among others. In the example of system 100 shown in FIG. 1B, the geometric configuration may also include, for example, the number of disks 112. Thus, one set (i.e., one row) of heater segments may include fewer heater segments than another set (i.e., another row). The controller 280 may dynamically reassign heater segments from one row to another (i.e., regroup heater segments in a row) to maintain a desired temperature gradient.
The controller 280 measures the temperature of the heater segments 250 in a group (e.g., in a row) by measuring the resistance value of the TCR heater 283. Based on the measured temperatures of the heater segments 250 in a group (e.g., in a row), the controller 280 controls the amount of heat supplied to the heater segments 250 in the group, one group at a time. Controller 280 may control groups of heater sections 250 in a sequence or in any other sequence to provide a temperature gradient between the groups. For example, in the example shown in fig. 2B, controller 280 may control heater segments 250 in rows R1 to R4 in the sequence of R1 then R2 then R3 then R4, or in any other order such as R1 then R3 then R2 then R4, R1 then R3 then R4 then R2, R1 then R4 then R2 then R3, or R1 then R4 then R3 then R2, etc.
For example, as the group of heater sections 250 increases in distance from ampoule 200, controller 280 may increase the amount of heat supplied to the group of heater sections 250. Further, based on the temperature measurements, the controller 280 may determine a temperature range for the group of heater sections 250. Based on the temperature range, the controller 280 may control the grouping of heater sections 250, the supply of heat to each group of heater sections 250, or both. Since heater segments 250 are heated in a multiplexed manner on a group basis, the heating operation can account for phase changes that may occur at locations between heater segments 250.
The controller 280 may utilize the temperature gradient across the group of heater sections 250 to define the target resistance values for the TCR heaters 283 in each row to be achieved via control. Based on the desired temperature or set point for each row of heater segments 250, the target resistance value for the TCR heaters 283 in each row is known/determined (from the temperature-resistance characteristics of the TCR heaters 283). The controller 280 may then control the supply of heat to the TCR heaters 283 in each row to achieve/maintain the desired resistance value (and thus the desired temperature set point).
Alternatively, the controller 280 may utilize a temperature gradient to define a ratio of two to be achieved via heating control: the resistance of the TCR heater 283 in one row is the same as the resistance of the TCR heater 283 in another row. For each row, the controller 280 may average the resistance values of all TCR heaters 283 in a row. The controller 280 may determine the ratio of the average resistance values of the TCR heaters 283 between the two rows based on the desired temperatures (i.e., setpoints) for the two rows. The controller 280 may then control the supply of heat to the TCR heaters 283 in the two rows so that the desired relationship between the setpoints of the two rows can be achieved/maintained.
The controller 280 uses the TC214 in contact with the fluid in the ampoule 200 as a calibration (temperature-resistance calibration) reference for the TCR heater 283. For example, controller 280 may calibrate TCR heater 283 in situ to account for interface losses (e.g., due to an air gap between TCR heater 283 and the material of the node on which TCR heater 283 is mounted). In addition, the controller 280 may also utilize the TC214 to set a minimum temperature throughout the ampoule 200, which may be used as an over-temperature/safety feature.
Fig. 3 shows a graph of ideal temperature as a function of length along the gas flow path to the process chamber (e.g., from ampoule 200 to process chamber 22). In some applications, it is desirable for the temperature of the gas flow path to increase monotonically as the gas passes through the plurality of heater sections 250. If the temperature is lowered, condensation may occur. In fig. 3, the ideal temperature characteristic is shown as a straight line having a positive slope. In practice, however, the temperature of the gas flowing through the gas flow path is less desirable due to localized cooling or heating. For example, the gas may cool as it passes through the pressure drop/expansion position.
Fig. 4 shows an example of a heater section 400. The heater section 400 includes a first gas line 410 that connects with a second gas line 420 at a node 430 near a turn/fitting 434. Insulated heater 440 includes insulation 442 and heater element 444. The heater section 250 according to the present disclosure does not use a thermocouple. However, to understand the improvement provided by the multiplexed heating architecture of the present disclosure, it should be noted that it can monitor the temperature of each of the heater sections when thermocouples are used. For example, a thermocouple TC may be located at a first location TCplOr a second position TCp2In (1). However, depending on the selected location of the thermocouple TC, different temperature control characteristics may occur.
Fig. 5 and 6 show graphs showing temperature as a function of length along the gas flow path in a segment. In fig. 5, the target temperature profile increases monotonically from one end of the segment to the other. However, in fig. 6, the temperature may decrease due to the pressure drop/expansion position. How the temperature is controlled varies depending on the position of the thermocouple. When the thermocouple is located after the pressure drop/expansion position (e.g., TC)p2) The timing heat causes a higher overall temperature and may cause a temperature drop in other sections thereafter. When the thermocouple is in front of the pressure drop/expansion location (e.g., TC)pl) The control heat causes a lower overall temperature and may cause a temperature drop in the heater section.
Fig. 7 is a flow chart of a method 700 for controlling the temperature of a gas flow path between an ampoule (e.g., ampoule 200) and a process chamber (e.g., process chamber 22). Method 700 uses a plurality of TCR heaters (e.g., TCR heater 283) disposed in a heating section (e.g., heater section 250) along a gas flow path. The method 700 is performed by a controller (e.g., the controller 280). The method 700 heats groups of heater segments in a multiplexed manner as follows.
At 702, method 700 forms a group of heater segments, where the grouping of the heater segments is based on a geometry of the heating system. At 704, based on the desired temperature range of the heating system (i.e., the path from the ampoule to the process chamber) and the number of heater segment groups, the method 700 determines a temperature set point for each heater segment group to maintain a desired temperature gradient across the heater segment groups. At 706, method 700 measures the resistance of the heaters in the group of heater segments. At 708, based on the resistance measurements, the method 700 determines the temperature of the heater segments in the group.
At 710, the method 700 determines whether the average temperature of the heater segments in the group is less than or equal to the set point for the group of heater segments. Alternatively, the method 700 determines whether the temperature of at least one heater segment in the group is less than or equal to the set point of the group of heater segments. If the temperature of at least one heater segment or the average temperature of the heater segments in the group is greater than the set point for the group of heater segments, method 700 returns to 706.
At 712, if the temperature of at least one heater segment or the average temperature of the heater segments in the group is less than or equal to the set point of the group of heater segments, the method 700 supplies power to the group of heater segments to increase the temperature of the group of heater segments. At 714, method 700 determines whether the temperature of the heater zones in the cluster are equal to the cluster's setpoint temperature. If the temperature of the heater zones in the cluster are equal to the cluster set point, the method 700 returns to 706. If the temperature of the heater zones in the cluster are not equal to the cluster setpoint temperature, method 700 returns to 712.
Fig. 8 is a flow chart of another method 800 for controlling the temperature of a gas flow path between an ampoule (e.g., ampoule 200) and a process chamber (e.g., process chamber 22). Method 800 uses a plurality of TCR heaters (e.g., TCR heater 283) disposed in a heating section (e.g., heater section 250) along a gas flow path. The method 800 is performed by a controller (e.g., the controller 280). The method 800 heats groups of heater segments in a multiplexed manner as follows.
At 802, method 800 forms a group of heater segments, wherein the grouping of the heater segments is based on a geometry of the heating system. At 804, based on the desired temperature range of the heating system (i.e., the path from the ampoule to the process chamber) and the number of heater segment groups, the method 800 determines a temperature setpoint for each heater segment group to maintain a desired temperature gradient across the heater segment groups.
At 806, based on the set points, the method 800 defines a target ratio of the resistance values of the heaters in the first group relative to the resistance values of the heaters in the second group. To determine the target ratio, the method uses the average resistance values of the heaters in the respective groups. At 808, the method 800 measures the resistance of the heaters in each of the first and second groups of heater sections and calculates an average of the resistances of the heaters in the respective groups of heater sections.
At 810, the method 800 determines whether the ratio of the average measured resistance values of the heaters in the first and second groups is equal to the target ratio of the first and second groups. If the ratio of the average measured resistance values of the heaters in the first and second groups is equal to the target ratio of the first and second groups, the method returns to 808. At 812, if the ratio of the average measured resistance values is not equal to the target ratio, the method 800 supplies power to the heaters in the group where the following conditions occur: the resistance of the heater changes and causes the ratio of the average measured resistance values to deviate from the target ratio. The supplied power heats the heaters in the group until the average measured resistance value becomes equal to the target ratio, which is when the method 800 stops supplying power to the heaters in the group.
Fig. 9 shows a heating system 900 of a gas delivery system that includes an oven 910 that surrounds one or more components of a substrate processing system. In some examples, the component comprises a component of a gas delivery system and/or a gas flow path. A thermocouple 920 and one or more oven heating elements 922 may be disposed in the oven 910 and used to maintain an average temperature within the oven 910.
As described above, localized cooling and/or heating of components within the substrate processing system may occur. For example, the gas lines may experience localized cooling due to various factors (e.g., expansion of the gas through fittings, valves, etc.), and additionally due to phase changes. While the oven maintains an average temperature in the oven, TCR heaters 940-1, 940-2 and 940-R (collectively TCR heaters 940) (where R is an integer greater than 1) are arranged in groups at locations (e.g., portions of components) along the gas line as shown that are more susceptible to temperature changes (e.g., cooling) and phase changes. As local cooling and phase changes occur, TCR heater 940 (controlled in multiplexed groups as described above) provides heat in an attempt to maintain the temperature at a predetermined temperature even with local cooling and phase changes. Examples of locations may include locations of pressure drop/expansion or other locations where local heating changes and phase changes may occur.
In some examples, TCR heater 940 is not insulated. In other words, when TCR heater 940 is not operating, the position of TCR heater 940 is heated by the oven. In some examples, the TCR heaters 940 are controlled in groups based on the ratio of the resistances of the TCR heaters in one group to another. The resistance ratio between groups of TCR heaters 940 can be maintained. The resistance ratio may be determined when all of the TCR heaters 940 are at the same reference temperature (e.g., ambient temperature or other temperature). In some examples, TCR heater 940 is fabricated using the same material of the resistive element of TCR heater 940. Thus, since all TCR heaters 940 should have approximately the same slope (temperature as a function of resistance), the resistance ratio should remain relatively constant at other temperatures.
In other words, the resistance ratio is determined at a predetermined temperature at which all TCR heaters 940 are at the same temperature. In some examples, the predetermined temperature used to determine the resistance ratio is ambient temperature. During operation, the resistance of each of the TCR heaters 940 in a group is measured, and the predetermined ratio between groups is maintained by the controller varying the output power supplied to each TCR heater 940 group. In some examples, a plurality of TCR heater segments (e.g., similar to the heater segments shown in fig. 2A and 2B) can be disposed along a gas flow path from a gas source to an oven outlet and/or to a processing chamber. Additional details regarding controlling the resistance ratio of a TCR heater can be found in commonly assigned U.S. patent provisional application serial No.62/694,171, filed on 7/5/2018, the entire contents of which are incorporated herein by reference.
Control may be performed using a control system similar to that shown in fig. 2C and 2D. In this example, the TC920 monitors the average temperature in the oven 910. The controller 280 stores the resistance ratios of the TCR heater groups and controls the power output to each TCR heater group based on the resistance ratios. In some examples, the resistance ratios between the TCR heater groups are maintained by the controller 280 to maintain a uniform temperature in each heater sector group and to maintain a desired temperature gradient between the TCR heater groups. In other examples, the controller 280 also adjusts the resistance ratio of the TCR as a function of position. For example, the controller 280 adds an incremental value to the resistance ratios of the TCR heater groups to incrementally adjust the resistance ratios of the groups. The method can be used to reach an increasing temperature of the gas line in a direction from the source towards the process chamber.
Referring now to fig. 10, a method 1000 for operating the heating system 900 of fig. 9 is shown. At 1010, the temperature of the oven is monitored using a thermocouple. At 1020, a predetermined temperature is maintained in the oven based on the measured temperature and the desired temperature. At 1030, the resistance of the TCR heaters in each group is measured. At 1040, a predetermined resistance ratio is maintained between the groups of TCR heaters by varying the power supplied to each group of heater segments in a multiplexed manner as described above.
The foregoing description is merely illustrative in nature and is in no way intended to limit the disclosure, its application, or uses. The broad teachings of the disclosure can be implemented in a variety of forms. Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since other modifications will become apparent upon a study of the drawings, the specification, and the following claims. It should be understood that one or more steps of the method may be performed in a different order (or simultaneously) without altering the principles of the present disclosure. Furthermore, while each embodiment is described above as having certain features, any one or more of those features described with respect to any embodiment of the present disclosure may be implemented in and/or combined with the features of any other embodiment, even if the combination is not explicitly described. In other words, the described embodiments are not mutually exclusive, and substitutions of one or more embodiments with one another remain within the scope of the present disclosure.
Various terms are used to describe spatial and functional relationships between elements (e.g., between modules, circuit elements, between semiconductor layers, etc.), including "connected," joined, "" coupled, "" adjacent, "" immediately adjacent, "" on top, "" above, "" below, "and" disposed. Unless a relationship between first and second elements is explicitly described as "direct", when such a relationship is described in the above disclosure, the relationship may be a direct relationship, in which no other intermediate elements are present between the first and second elements, but may also be an indirect relationship, in which one or more intermediate elements are present (spatially or functionally) between the first and second elements. As used herein, the phrase "at least one of A, B and C" should be interpreted to mean logic (a OR B OR C) using a non-exclusive logic OR (OR), and should not be interpreted to mean "at least one of a, at least one of B, and at least one of C".
In some implementations, the controller is part of a system, which may be part of the above example. Such systems may include semiconductor processing equipment including one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer substrate supports, gas flow systems, etc.). These systems may be integrated with electronics for controlling the operation of semiconductor wafers or substrates before, during, and after their processing. The electronic device may be referred to as a "controller," which may control various components or subcomponents of one or more systems. Depending on the process requirements and/or type of system, the controller can be programmed to control any of the processes disclosed herein, including the delivery of process gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, Radio Frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer in and out of tools and other transfer tools, and/or load locks connected or interfaced with specific systems.
In general terms, a controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software to receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, and the like. An integrated circuit may include a chip in firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers that execute program instructions (e.g., software). The program instructions may be instructions that are sent to the controller in the form of various individual settings (or program files) that define operating parameters for performing specific processes on or for a semiconductor wafer or system. In some embodiments, the operating parameters may be part of a recipe defined by a process engineer to complete one or more process steps during fabrication of one or more layer(s), material, metal, oxide, silicon dioxide, surface, circuitry, and/or die of a wafer.
In some implementations, the controller can be part of or coupled to a computer that is integrated with, coupled to, otherwise networked to, or a combination of the systems. For example, the controller may be in the "cloud" or all or part of a fab (fab) host system, which may allow remote access to wafer processing. The computer may implement remote access to the system to monitor the current progress of the manufacturing operation, check the history of past manufacturing operations, check trends or performance criteria for multiple manufacturing operations, change parameters of the current process, set processing steps to follow the current process, or begin a new process. In some examples, a remote computer (e.g., a server) may provide the process recipe to the system over a network (which may include a local network or the internet). The remote computer may include a user interface that enables parameters and/or settings to be entered or programmed and then transmitted from the remote computer to the system. In some examples, the controller receives instructions in the form of data specifying parameters for each process step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool with which the controller is configured to interface or control. Thus, as described above, the controllers can be distributed, for example, by including one or more discrete controllers networked together and operating toward a common purpose (e.g., the processes and controls described herein). An example of a distributed controller for such a purpose is one or more integrated circuits on a chamber that communicate with one or more integrated circuits that are remote (e.g., at a platform level or as part of a remote computer), which combine to control a process on the chamber.
Example systems can include, but are not limited to, a plasma etch chamber or module, a deposition chamber or module, a spin rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, a bevel edge etch chamber or module, a Physical Vapor Deposition (PVD) chamber or module, a Chemical Vapor Deposition (CVD) chamber or module, an Atomic Layer Deposition (ALD) chamber or module, an Atomic Layer Etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing system that can be associated with or used in the manufacture and/or preparation of semiconductor wafers.
As described above, depending on the process step or steps to be performed by the tool, the controller may communicate with one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, neighboring tools, tools located throughout the factory, a host computer, another controller, or a tool used in the material transport that transports wafer containers to and from tool locations and/or load ports in a semiconductor manufacturing facility.

Claims (19)

1. A system for heating a component of a substrate processing system, comprising:
a plurality of heaters disposed at a plurality of locations along a fluid flow path from a fluid source to a destination in the substrate processing system; and
a controller configured to:
grouping the plurality of heaters into a plurality of heater groups, each heater group including at least one of the plurality of heaters;
determining a temperature gradient to be maintained between the plurality of heater groups;
selecting a heater group from the plurality of heater groups; and is
Controlling power supplied to the selected heater group to maintain the temperature gradient among the plurality of heater groups.
2. The system of claim 1, wherein the controller is configured to group the plurality of heaters into the plurality of heater groups based on a geometric configuration of the components in the fluid flow path from the fluid source to the destination in the substrate processing system, and wherein the components include conduits and valves.
3. The system of claim 1, wherein each of the plurality of heaters has a resistance that varies with temperature, and wherein the controller is configured to:
measuring the resistance of the heaters in the selected group of heaters;
determining a temperature of the heater in the selected heater group based on the resistance of the heater in the selected heater group; and is
Controlling power supplied to the selected heater group based on the determined temperatures of the heaters in the selected heater group and the temperature gradient.
4. The system of claim 1, wherein each of the plurality of heaters has a resistance that varies with temperature, and wherein the controller is configured to:
determining a desired temperature of heaters in the selected heater group based on the temperature gradient to be maintained between the plurality of heater groups;
determining a desired resistance value for the heater in the selected heater group based on the desired temperature for the heater in the selected heater group;
measuring the resistance of the heaters in the selected group of heaters; and is
Controlling power supplied to the selected heater group based on the measured resistance of the heaters in the selected heater group until the heaters in the selected heater group have the desired resistance value.
5. The system of claim 1, wherein each of the plurality of heaters has a resistance that varies with temperature, and wherein the controller is configured to:
determining a desired temperature of a heater of the selected heater group and another heater group of the plurality of heater groups based on the temperature gradient to be maintained between the plurality of heater groups;
determining a desired resistance value for the heater in the selected heater group and a heater in the other heater group based on the desired temperatures for the heaters in the selected heater group;
determining a ratio between the desired resistance value of the heater in the selected heater group and the desired resistance value of the heater in the other heater group;
measuring the resistance of the heaters in the selected group of heaters; and is
Controlling power supplied to the selected heater group based on the measured resistance of the heaters in the selected heater group to maintain the ratio between the desired resistance value of the heater in the selected heater group and the desired resistance value of the heater in the other heater group.
6. The system of claim 1, further comprising:
a temperature sensor configured to sense a temperature of fluid in the source,
wherein the controller is configured to stop the system when the temperature is greater than a first threshold or less than a second threshold, wherein the first threshold is greater than the second threshold to ensure that the system operates when the temperature is between the first threshold and the second threshold.
7. The system of claim 1, further comprising:
a current sensor for sensing a current supplied to each of the plurality of heaters,
wherein the controller is configured to determine a resistance of each of the plurality of heaters based on the current.
8. The system of claim 1, further comprising:
a voltage sensor for sensing a voltage supplied to each of the plurality of heaters,
wherein the controller is configured to determine a resistance of each of the plurality of heaters based on the voltage.
9. The system of claim 1, further comprising:
a driver for driving the selected heater group based on a duty cycle; and
a resistance estimator for estimating a resistance of the heater group based on the duty cycle,
wherein the controller is configured to determine a temperature of the selected heater group based on the resistance.
10. The system of claim 1, wherein the controller is configured to provide a progressive heating profile between the plurality of heater groups from the fluid source to the destination, and wherein the destination comprises a processing chamber for processing semiconductor substrates in the substrate processing system.
11. A system for heating a component of a substrate processing system, comprising:
an oven for enclosing one or more components of the substrate processing system and maintaining a predetermined temperature in the oven;
a plurality of heaters disposed in the oven to heat the one or more components of the substrate processing system, wherein the plurality of heaters includes a non-insulated resistive heater, and
a controller configured to:
grouping the plurality of heaters into a plurality of heater groups, each heater group including at least one of the plurality of heaters; and is
Controlling power supplied to the plurality of heater groups by selecting one heater group at a time from the plurality of heater groups to maintain a temperature gradient between the plurality of heater groups and to maintain the predetermined temperature in a localized area in the oven.
12. The system of claim 11, further comprising:
a temperature sensor located remote from the plurality of heaters to sense a temperature in the oven,
wherein the oven comprises a heating element, and
the controller is configured to determine an average temperature in the oven based on the sensed temperature, and to control the heating element in the oven to maintain the predetermined temperature based on the average temperature.
13. The system of claim 11, wherein the predetermined temperature is an ambient temperature.
14. The system of claim 11, wherein each of the plurality of heaters has a resistance that varies with temperature, and wherein the controller is configured to:
measuring the resistance of the heaters in the selected heater group;
determining a temperature of the heater in the selected heater group based on the resistance of the heater in the selected heater group; and is
Controlling power supplied to the selected heater group based on the determined temperatures of the heaters in the selected heater group and the temperature gradient.
15. The system of claim 11, wherein each of the plurality of heaters has a resistance that varies with temperature, and wherein the controller is configured to:
determining a desired temperature of heaters in the selected heater group based on the temperature gradient to be maintained between the plurality of heater groups;
determining a desired resistance value for the heater in the selected heater group based on the desired temperature for the heater in the selected heater group;
measuring the resistance of the heaters in the selected group of heaters; and is
Controlling power supplied to the selected heater group based on the measured resistance of the heaters in the selected heater group until the heaters in the selected heater group have the desired resistance value.
16. The system of claim 11, wherein each of the plurality of heaters has a resistance that varies with temperature, and wherein the controller is configured to:
determining a desired temperature of a heater in the selected heater group and another heater group in the plurality of heater groups based on the temperature gradient to be maintained between the plurality of heater groups;
determining a desired resistance value for the heater in the selected heater group and a heater in the other heater group based on the desired temperatures for the heaters in the selected heater group;
determining a ratio between the desired resistance value of the heater in the selected heater group and the desired resistance value of the heater in the other heater group;
measuring the resistance of the heaters in the selected group of heaters; and is
Controlling power supplied to the selected heater group based on the measured resistance of the heaters in the selected heater group to maintain the ratio between the desired resistance value of the heater in the selected heater group and the desired resistance value of the heater in the other heater group.
17. The system of claim 16, wherein the ratio is determined at the predetermined temperature.
18. The system of claim 16, wherein the controller is configured to adjust the ratio to provide progressive heating between the plurality of heater groups.
19. The system of claim 11, wherein the controller is configured to group the plurality of heaters into the plurality of heater groups based on a geometric configuration of the one or more components, and wherein the components include conduits and valves in a fluid flow path from a fluid source to a process chamber in the substrate processing system.
CN201980062821.9A 2018-09-24 2019-09-19 Multiplexed high TCR-based ampoule heaters Pending CN112753097A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862735464P 2018-09-24 2018-09-24
US62/735,464 2018-09-24
PCT/US2019/051886 WO2020068546A2 (en) 2018-09-24 2019-09-19 Multiplexed high tcr based ampoule heaters

Publications (1)

Publication Number Publication Date
CN112753097A true CN112753097A (en) 2021-05-04

Family

ID=69949829

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980062821.9A Pending CN112753097A (en) 2018-09-24 2019-09-19 Multiplexed high TCR-based ampoule heaters

Country Status (4)

Country Link
KR (1) KR20210053348A (en)
CN (1) CN112753097A (en)
TW (1) TWI815971B (en)
WO (1) WO2020068546A2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230030419A (en) * 2021-08-25 2023-03-06 엘지이노텍 주식회사 Power Supply Apparatus

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
JP5183058B2 (en) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド Substrate processing with rapid temperature gradient control
KR20080070360A (en) * 2007-01-26 2008-07-30 삼성전자주식회사 Chemical vapor deposition equipment
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
KR102165330B1 (en) * 2016-10-21 2020-10-13 와틀로 일렉트릭 매뉴팩츄어링 컴파니 Electric heater with low drift resistance feedback

Also Published As

Publication number Publication date
TWI815971B (en) 2023-09-21
KR20210053348A (en) 2021-05-11
TW202027195A (en) 2020-07-16
WO2020068546A2 (en) 2020-04-02
WO2020068546A3 (en) 2020-05-07

Similar Documents

Publication Publication Date Title
KR102537055B1 (en) System and method for reducing temperature transition in an electrostatic chuck
US20220037170A1 (en) Progressive heating of components of substrate processing systems using tcr element-based heaters
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US20190332094A1 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
CN110199383B (en) Virtual metering method for ESC temperature estimation by using thermal control element
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
CN112313785A (en) Temperature control system and method for removing metal oxide film
TWI815971B (en) Multiplexed high tcr based ampoule heaters
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
US20220243332A1 (en) Temperature control of a multi-zone pedestal
US20230272529A1 (en) Pedestal thermal profile tuning using multiple heated zones and thermal voids
US20220223440A1 (en) Rapid tuning of critical dimension non-uniformity by modulating temperature transients of multi-zone substrate supports
TW202309339A (en) Showerhead temperature based deposition time compensation for thickness trending in pecvd deposition system
WO2023076321A1 (en) Modulating thermal conductivity to control cooling of showerhead

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination