CN112417803B - 一种基于人工智能算法的模拟集成电路设计参数自动优化方法 - Google Patents

一种基于人工智能算法的模拟集成电路设计参数自动优化方法 Download PDF

Info

Publication number
CN112417803B
CN112417803B CN202011389111.8A CN202011389111A CN112417803B CN 112417803 B CN112417803 B CN 112417803B CN 202011389111 A CN202011389111 A CN 202011389111A CN 112417803 B CN112417803 B CN 112417803B
Authority
CN
China
Prior art keywords
design
optimization
circuit
defining
steps
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202011389111.8A
Other languages
English (en)
Other versions
CN112417803A (zh
Inventor
万景
毛威
张征
黄益飞
房华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Suzhou Fuhu Electronic Technology Co ltd
Original Assignee
Suzhou Fuhu Electronic Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Suzhou Fuhu Electronic Technology Co ltd filed Critical Suzhou Fuhu Electronic Technology Co ltd
Priority to CN202011389111.8A priority Critical patent/CN112417803B/zh
Publication of CN112417803A publication Critical patent/CN112417803A/zh
Application granted granted Critical
Publication of CN112417803B publication Critical patent/CN112417803B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/373Design optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种基于人工智能算法的模拟集成电路设计参数自动优化方法,涉及集成电路设计技术领域,包括以下步骤:A1、定义设计目标;A2、固定电路架构;A3、定义N个电路器件参数变量并设定范围;A4、定义M个仿真结果目标变量和X个限制条件,其中此步骤包括:方法一、方法二和方法三。该基于人工智能算法的模拟集成电路设计参数自动优化方法,将整个优化过程统一到一个环境中,使得整个设计更加便捷高效,在网表解析模块,我们给出了三种解决方案,可满足不同用户的使用习惯。

Description

一种基于人工智能算法的模拟集成电路设计参数自动优化 方法
技术领域
本发明涉及集成电路设计技术领域,具体为一种基于人工智能算法的模拟集成电路设计参数自动优化方法。
背景技术
如图3所示,模拟集成电路设计参数自动优化问题可大致描述如下,给定模拟电路设计目标和电路结构,设计人员需要通过相关优化技术,找出符合设计要求的一组设计参数,对于这样一个高维的参数寻优问题,可以利用数学知识将其转换成有约束的非线性规划问题并借助优化算法进行求解。
目前常用算法有启发式算法和梯度类优化算法,其中启发式算法包括遗传算法、模拟退火算法、粒子群算法等。
梯度类优化算法包括随机梯度算法、自适应梯度算法等,这类算法利用梯度下降方式不断找寻全局最优点。两种算法的本质都是为了减少电路仿真次数,加速参数寻优过程。
目前行业内没有相关商业软件可以做到给定电路性能指标要求,电脑自动计算出电路设计参数,之前主要依据设计师经验,根据重要性逐次、有序的确定电路元器件各个参数,这是一种有序的设计方式。
在现有的模拟集成电路设计参数自动优化过程中,仿真过程需借助Spectre、Hspice等专业电路仿真软件,优化设计参数算法需借助Python、C、C++、java等计算机编程语言,两者很难在同一个平台下实现,这严重降低了优化效率。
发明内容
(一)解决的技术问题
针对现有技术的不足,本发明提供了一种基于人工智能算法的模拟集成电路设计参数自动优化方法,解决了目前行业内没有相关商业软件可以做到给定电路性能指标要求,电脑自动计算出电路设计参数,在现有的模拟集成电路设计参数自动优化过程中,设计师需借助商业电路仿真软件做单次的仿真,然后观察结果,根据结果再进一步改变设计参数,整个过程人工参与,而且工作是串行的,这严重降低了优化效率的问题。
(二)技术方案
为达到以上目的,本发明采取的技术方案是:一种基于人工智能算法的模拟集成电路设计参数自动优化方法,包括以下步骤:
A1、定义设计目标;
A2、固定电路架构;
A3、定义N个电路器件参数变量并设定范围;
A4、定义M个仿真结果目标变量和X个限制条件,其中此步骤包括:方法一、方法二和方法三;
A5、将定义信息转化为优化信息输入文件;
A6、将当代N个变量值的不同组合写入电路网表;
A7、不同的N个变量值组合的电路,可以通过CPU或者GPU并行计算仿真来进行性能评估;
A8、性能评估之后判断是否满足既定误差,情况一:满足既定误差后直接输出优化结果,情况二:不满足既定误差时,进行参数优化,用优化后的参数覆盖上一代变量值,再次进行电路并行仿真和性能评估,直至满足终止条件,输出优化结果;
A9、选择一组设计参数;
A10、更新设计。
优选的,所述方法一包含:界面化输入和将当代变量值写入电路网表两个模块,所述界面化输入包含:定义设计目标、定义设计变量、设定变量范围和生成优化信息输入文件四个模块。
优选的,所述方法二包含:直接编写优化信息输入文件和将当代变量值写入电路网表两个模块。
优选的,所述方法三包含:在规范文件中输入设计信息、生成优化信息输入文件和将当代变量值写入电路网表三个模块。
(三)有益效果
本发明的有益效果在于:
1、该基于人工智能算法的模拟集成电路设计参数自动优化方法,利用计算机并发计算得到元器件的设计参数值,不需要借助设计师的先验知识,数十倍的提高的设计效率,降低了设计门槛。
2、该基于人工智能算法的模拟集成电路设计参数自动优化方法,将整个优化过程统一到一个环境中,使得整个设计更加便捷高效,在网表解析模块,我们给出了三种解决方案,可满足不同用户的使用习惯。
3、该基于人工智能算法的模拟集成电路设计参数自动优化方法,利用计算机编程语言编写调用仿真器接口程序完成仿真过程,利用人工智能算法实现模拟集成电路设计参数优化过程,将整个自动化设计过程集成到同一个环境中,简化了优化步骤,提高优化效率。
附图说明
图1为本发明方案详细流程图;
图2为本发明方案概括流程图;
图3为模拟集成电路设计参数优化流程图。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
如图1-3所示,本发明提供一种技术方案:一种基于人工智能算法的模拟集成电路设计参数自动优化方法,包括以下步骤:
A1、定义设计目标;
A2、固定电路架构;
A3、定义N个电路器件参数变量并设定范围;
A4、定义M个仿真结果目标变量和X个限制条件,其中此步骤包括:方法一、方法二和方法三;
A5、将定义信息转化为优化信息输入文件;
A6、将当代N个变量值的不同组合写入电路网表;
A7、不同的N个变量值组合的电路,可以通过CPU或者GPU并行计算仿真来进行性能评估;
A8、性能评估之后判断是否满足既定误差,情况一:满足既定误差后直接输出优化结果,情况二:不满足既定误差时,进行参数优化,用优化后的参数覆盖上一代变量值,再次进行电路并行仿真和性能评估,直至满足终止条件,输出优化结果;
A9、选择一组设计参数;
A10、更新设计。
方法一包含:界面化输入和将当代变量值写入电路网表两个模块,所述界面化输入包含:定义设计目标、定义设计变量、设定变量范围和生成优化信息输入文件四个模块,方法二包含:直接编写优化信息输入文件和将当代变量值写入电路网表两个模块,方法三包含:在规范文件中输入设计信息、生成优化信息输入文件和将当代变量值写入电路网表三个模块。
一种基于人工智能算法的模拟集成电路设计参数自动优化方法的使用方法,包括以下步骤:
B1、设置优化的需求信息,此步骤中有三种方法:①利用用户图形界面,②利用优化信息输入文件,③利用命令行输入;
B2、对设计参数设置初始值,可以是随机的,也可以是默认的;
B3、运行仿真,此步骤中:仿真可以是在一个开源spice上,比如ngspice上,也可以是在商业spice上,也可以是在自己开发的spice上;
B4、获取仿真结果,此步骤中:仿真结果可以是measure语句,也可以是波形,也可以是 op点;
B5、获取性能指标以及约束指标,此步骤中:获取性能指标可以是直接的第四步的结果,也可以是第四步的进一步计算的结果,也可以是多个仿真结果的综合;
B6、与期望指标进行比较,以决定是否要进行下一轮迭代,判断是否要进行下一次迭代,可以是迭代次数,也可以是结果的比较;
B7、利用算法产生下一轮迭代的设计参数,此步骤可以用遗传算法,也可以用随机的,或者任何的其他算法;
B8、重复运行,直到满足退出条件,此步骤可以利用多核并行,也可以利用串行;
B9、选择最终优化好的设计参数,可以是列表,也可以是画图供选择,以及如何产生Pareto Front点;
B10、更新设计,此步骤中:改变设计可以是直接改变网表,也可以改变schematic。当然这些都是可以用软件来实现的,开发语言可以是python,也可以是c,c++,java,perl。
综上所述:本发明与现在主流方针软件的区别:
S1、在固定电路构架后,用户指定N个电路器件参数变量,M个仿真结果目标变量,以及X个仿真结果的限制条件,我们通过机器自动学习的方法来找到符合用户设定的最优的N个参数的组合;
S2、通过并行计算的方法,一下子产生N个参数的组合,并行跑仿真,并评估仿真结果和目标之间的差异,再进一步优化选出下一次并行计算的N个参数的组合,再观察仿真结果和目标之间的差异,直至差异小到用户设定的范围内,如此就得到了满足用户要求的N个参数的组合(每一个参数的值);
S3、目前与主流商业软件的区别在于,商业软件只跑一次仿真,并且需要人为设定条件,看仿真结果,然后人工再调整。而我们是计算机自动的,并且连续向目标方向迭代,有机器学习的功能。第二,因为我们是一下子产生多个参数组合,非常方便的可用多核并行来计算,这样就让优化时间在可控的范围内。
以上所述的具体实施方式,对本发明的目的、技术方案和有益效果进行了进一步详细说明,所应理解的是,以上所述仅为本发明的具体实施方式而已,并不用于限制本发明,凡在本发明的精神和原则之内,所做的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (1)

1.一种基于人工智能算法的模拟集成电路设计参数自动优化方法,包括以下步骤:
A1、定义设计目标;
A2、固定电路架构;
A3、定义N个电路器件参数变量并设定范围;
A4、定义M个仿真结果目标变量和X个限制条件,其中此步骤包括:方法一、方法二和方法三;
A5、将定义信息转化为优化信息输入文件;
A6、将当代N个变量值的不同组合写入电路网表;
A7、不同的N个变量值组合的电路,通过CPU或者GPU并行计算仿真来进行性能评估;
A8、性能评估之后判断是否满足既定误差,情况一:满足既定误差后直接输出优化结果,情况二:不满足既定误差时,进行参数优化,用优化后的参数覆盖上一代变量值,再次进行电路并行仿真和性能评估,直至满足终止条件,输出优化结果;
A9、选择一组设计参数;
A10、更新设计;
所述方法一包含:界面化输入和将当代变量值写入电路网表两个模块,所述界面化输入包含:定义设计目标、定义设计变量、设定变量范围和生成优化信息输入文件四个模块,所述方法二包含:直接编写优化信息输入文件和将当代变量值写入电路网表两个模块,所述方法三包含:在规范文件中输入设计信息、生成优化信息输入文件和将当代变量值写入电路网表三个模块。
CN202011389111.8A 2020-12-02 2020-12-02 一种基于人工智能算法的模拟集成电路设计参数自动优化方法 Active CN112417803B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011389111.8A CN112417803B (zh) 2020-12-02 2020-12-02 一种基于人工智能算法的模拟集成电路设计参数自动优化方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202011389111.8A CN112417803B (zh) 2020-12-02 2020-12-02 一种基于人工智能算法的模拟集成电路设计参数自动优化方法

Publications (2)

Publication Number Publication Date
CN112417803A CN112417803A (zh) 2021-02-26
CN112417803B true CN112417803B (zh) 2024-02-06

Family

ID=74829595

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011389111.8A Active CN112417803B (zh) 2020-12-02 2020-12-02 一种基于人工智能算法的模拟集成电路设计参数自动优化方法

Country Status (1)

Country Link
CN (1) CN112417803B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112906329A (zh) * 2021-03-19 2021-06-04 苏州复鹄电子科技有限公司 一种基于系统级模拟集成电路设计参数自动优化方法
CN113221500A (zh) * 2021-06-18 2021-08-06 苏州复鹄电子科技有限公司 一种基于人工智能算法的芯片打线布局自动化设计方法
WO2022267010A1 (zh) * 2021-06-25 2022-12-29 华为技术有限公司 一种电路设计方法以及相关设备
CN114611449A (zh) * 2022-04-25 2022-06-10 杭州法动科技有限公司 一种模拟电路的快速仿真优化方法及其系统
CN115062569B (zh) * 2022-08-17 2022-12-02 深圳市华杰智通科技有限公司 毫米波芯片设计仿真eda存算并行加速系统及方法
CN117422005B (zh) * 2023-12-19 2024-03-29 杭州四维映射软件有限公司 一种模拟电路仿真误差自动控制的方法及应用

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1360268A (zh) * 2002-01-15 2002-07-24 清华大学 基于关键网络技术优化时延的标准单元总体布线方法
CN1687934A (zh) * 2005-05-13 2005-10-26 清华大学 多端线网插入缓冲器优化时延的标准单元总体布线方法
CN102024067A (zh) * 2009-09-09 2011-04-20 中国科学院微电子研究所 一种模拟电路工艺移植的方法
CN102289549A (zh) * 2011-08-11 2011-12-21 复旦大学 一种模拟集成电路优化方法
CN102314522A (zh) * 2010-06-30 2012-01-11 中国科学院微电子研究所 一种模拟集成电路设计优化方法
CN102542079A (zh) * 2010-12-20 2012-07-04 中国科学院微电子研究所 一种电路仿真器间器件模型数据的转换方法及装置
CN102768473A (zh) * 2012-07-13 2012-11-07 北京理工大学 一种极紫外光刻投影物镜的优化设计方法
CN103339629A (zh) * 2010-12-03 2013-10-02 格罗方德半导体公司 用于优化的功率单元合成器的设备及方法
CN105868427A (zh) * 2015-01-20 2016-08-17 复旦大学 一种采用自适应网格划分和滑动窗口技术快速计算sram失效概率的方法
CN109145389A (zh) * 2018-07-25 2019-01-04 清华大学 集成电路模型复用方法及装置
CN109255159A (zh) * 2018-08-17 2019-01-22 东南大学 一种基于机器学习的电路路径延时波动预测方法
CN109960834A (zh) * 2017-12-25 2019-07-02 复旦大学 一种基于多目标贝叶斯优化的模拟电路多目标优化设计方法
CN109977534A (zh) * 2019-03-22 2019-07-05 清华大学 基于强化学习的电路参数优化方法及系统
CN110245436A (zh) * 2019-06-19 2019-09-17 山东大学 一种基于遗传算法和机器学习的并行模拟电路优化方法
CN110750948A (zh) * 2018-07-06 2020-02-04 复旦大学 一种基于多目标获取函数集成并行贝叶斯优化的模拟电路优化算法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7458041B2 (en) * 2004-09-30 2008-11-25 Magma Design Automation, Inc. Circuit optimization with posynomial function F having an exponent of a first design parameter
US7401307B2 (en) * 2004-11-03 2008-07-15 International Business Machines Corporation Slack sensitivity to parameter variation based timing analysis

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1360268A (zh) * 2002-01-15 2002-07-24 清华大学 基于关键网络技术优化时延的标准单元总体布线方法
CN1687934A (zh) * 2005-05-13 2005-10-26 清华大学 多端线网插入缓冲器优化时延的标准单元总体布线方法
CN102024067A (zh) * 2009-09-09 2011-04-20 中国科学院微电子研究所 一种模拟电路工艺移植的方法
CN102314522A (zh) * 2010-06-30 2012-01-11 中国科学院微电子研究所 一种模拟集成电路设计优化方法
CN103339629A (zh) * 2010-12-03 2013-10-02 格罗方德半导体公司 用于优化的功率单元合成器的设备及方法
CN102542079A (zh) * 2010-12-20 2012-07-04 中国科学院微电子研究所 一种电路仿真器间器件模型数据的转换方法及装置
CN102289549A (zh) * 2011-08-11 2011-12-21 复旦大学 一种模拟集成电路优化方法
CN102768473A (zh) * 2012-07-13 2012-11-07 北京理工大学 一种极紫外光刻投影物镜的优化设计方法
CN105868427A (zh) * 2015-01-20 2016-08-17 复旦大学 一种采用自适应网格划分和滑动窗口技术快速计算sram失效概率的方法
CN109960834A (zh) * 2017-12-25 2019-07-02 复旦大学 一种基于多目标贝叶斯优化的模拟电路多目标优化设计方法
CN110750948A (zh) * 2018-07-06 2020-02-04 复旦大学 一种基于多目标获取函数集成并行贝叶斯优化的模拟电路优化算法
CN109145389A (zh) * 2018-07-25 2019-01-04 清华大学 集成电路模型复用方法及装置
CN109255159A (zh) * 2018-08-17 2019-01-22 东南大学 一种基于机器学习的电路路径延时波动预测方法
CN109977534A (zh) * 2019-03-22 2019-07-05 清华大学 基于强化学习的电路参数优化方法及系统
CN110245436A (zh) * 2019-06-19 2019-09-17 山东大学 一种基于遗传算法和机器学习的并行模拟电路优化方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
一种用于亚阈区的超低功耗数字电路系统设计;李阳明;中国优秀硕士学位论文全文数据库信息科技辑(第03期);I135-1436 *

Also Published As

Publication number Publication date
CN112417803A (zh) 2021-02-26

Similar Documents

Publication Publication Date Title
CN112417803B (zh) 一种基于人工智能算法的模拟集成电路设计参数自动优化方法
AU2021240155B2 (en) Control Pulse Generation Method, Apparatus, System, Device And Storage Medium
Whittaker et al. Markov analysis of software specifications
CN108647370B (zh) 基于双环迭代的无人直升机气动外形优化设计方法
US7167817B2 (en) Automated approach to resolving artificial algebraic loops
EP4116885A1 (en) Processing method for neural network model, and related device
US8180619B2 (en) System and method for digital effects analysis
US8046202B1 (en) Generation of intermediate representations based on user specified elements in a graphical model that enable simulation, propagation and code generation
CN113221500A (zh) 一种基于人工智能算法的芯片打线布局自动化设计方法
Liu et al. Opposition-mutual learning differential evolution with hybrid mutation strategy for large-scale economic load dispatch problems with valve-point effects and multi-fuel options
CN112527256A (zh) 一种集成化水电站模型开发系统及方法
US8533653B2 (en) Support apparatus and method for simplifying design parameters during a simulation process
CN115713057A (zh) 基于深度神经网络的模拟集成电路设计参数自动优化方法
CN102542079A (zh) 一种电路仿真器间器件模型数据的转换方法及装置
Doroshenko et al. Automated Software Design for FPGAs on an Example of Developing a Genetic Algorithm.
Shanmugan et al. Block-oriented systems simulator (BOSS)
CN109255106A (zh) 一种文本处理方法及终端
CN116523053A (zh) 量子线路模拟方法、装置、设备、存储介质及程序产品
Takagi et al. Prototype of a Modeling Tool to Convert between Extended Place/Transition Nets and VDM++ Specifications
CN110516280A (zh) 一种matlab的实时仿真方法
CN102393819A (zh) 一种基于matlab的模糊控制器hdl代码自动生成方法
Bassano et al. Marked Regulatory Graphs: A formal framework to simulate Biological Regulatory Networks with simple automata
US11900918B2 (en) Method for training a linguistic model and electronic device
CN114118423B (zh) 基于符号权重的前提选择方法、系统及电子设备
Madani et al. Automatically testing interactive multimodal systems using task trees and fusion models

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant