CN112272796A - 使用指纹和演化分析的方法 - Google Patents

使用指纹和演化分析的方法 Download PDF

Info

Publication number
CN112272796A
CN112272796A CN201980038187.5A CN201980038187A CN112272796A CN 112272796 A CN112272796 A CN 112272796A CN 201980038187 A CN201980038187 A CN 201980038187A CN 112272796 A CN112272796 A CN 112272796A
Authority
CN
China
Prior art keywords
fingerprint
substrate
model
evolution
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980038187.5A
Other languages
English (en)
Other versions
CN112272796B (zh
Inventor
J·范德翁根
W·T·特尔
S·罗伊
张祎晨
A·卡瓦利
B·L·斯捷尼泽尔
西蒙·飞利浦·斯宾塞·哈斯廷斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP18176544.7A external-priority patent/EP3579051A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN112272796A publication Critical patent/CN112272796A/zh
Application granted granted Critical
Publication of CN112272796B publication Critical patent/CN112272796B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0259Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the response to fault detection
    • G05B23/0275Fault isolation and identification, e.g. classify fault; estimate cause or root of failure

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明提供一种针对由装置处理的衬底来确定采样控制方案和/或处理控制的方法。所述方法使用指纹模型和演化模型来产生所述控制方案。所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据,并且所述演化模型表示所述指纹数据随时间的变化。分析所述指纹模型和所述演化模型,并且使用所述分析来产生针对所述装置的控制方案。所述采样控制方案提供待在何处和何时对由所述装置处理的衬底进行测量的指示。所述处理控制方案提供如何控制所述衬底的所述处理的指示。本发明也提供一种确定多个装置中的哪个装置对处理参数的指纹做出贡献的方法。

Description

使用指纹和演化分析的方法
相关申请的交叉引用
本申请主张2018年6月8日递交的欧洲申请18176544.7、2018年8月30日递交的欧洲申请18191756.8和2018年11月7日递交的欧洲申请18204781.1的优先权,这些欧洲申请的全部内容通过引用而被合并入本文中。
技术领域
本发明涉及一种确定针对被配置成处理和/或测量至少一个衬底的装置的控制方案的方法。本发明也涉及一种用于针对由多个装置处理的衬底确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献的方法。本发明也涉及一种相关联的计算机程序和系统。
背景技术
光刻设备是一种将期望的图案施加到衬底上(通常施加到衬底的目标部分上)的机器。例如,光刻设备可以被用在集成电路(IC)的制造中。在那种情况下,可以使用图案形成装置(替代地称为掩模或掩模版)来生成待形成于IC的单独的层上的电路图案。该图案可以被转印到衬底(例如,硅晶片)上的目标部分(例如,包括管芯的部分、一个或几个管芯)上。通常经由成像至被设置在衬底上的辐射敏感材料(抗蚀剂)层上来进行图案的转印。通常,单个衬底将包含被连续地图案化的相邻目标部分的网络。这些目标部分通常被称为“场”。晶片通过半导体制造设施(fab)中的各种设备分批或批量处理。可以使用相同的装置以相同的方式处理一批衬底。利用在每个层处由光刻设备执行的光刻步骤来逐层地构建集成电路,并且在光刻步骤之间执行其它制造过程。
在成像步骤之前,使用各种化学和/或物理处理步骤来形成和制备用于图案化的层。在成像步骤限定图案之后,进一步的化学和/或物理处理步骤遍及该图案工作以创建集成电路的功能特征。在多层过程中重复成像和处理步骤以构建集成电路。
在衬底上准确放置图案是减小电路部件和可以由光刻生产的其它产品的尺寸的主要挑战。特别地,准确地测量已经铺设的衬底上的特征的挑战是能够足够准确地对准处于叠加状态的特征的连续层从而以高的产率来产生工作器件的关键步骤。通常,所谓的重叠在当今的亚微米半导体器件中应该在数十纳米内实现,在最关键的层中降至几纳米。
因此,现代光刻设备涉及在目标位置处实际曝光或以其它方式图案化所述衬底的步骤之前的大量的测量或“映射”操作。已经开发并且持续开发所谓的高级对准模型,以更准确地对由处理步骤和/或光刻设备本身所引起的晶片“栅格”的非线性变形进行建模和校正。然而,在曝光期间并非所有变形都是可校正的,并且追踪和消除尽可能多的这些变形的原因仍然很重要。
现代多层光刻过程和产品非常复杂,以至于由于处理引起的问题很难追溯到根本原因。因此,监控晶片完整性和适当校正策略的设计是一项耗时且费力的工作。
全文以引用方式并入本文中的国际专利申请WO 2015049087披露了一种获得与工业过程有关的诊断信息的方法。在光刻过程的执行期间的阶段处进行对准数据或其它测量,以获得表示在跨越每个晶片的空间分布的点处所测量的位置偏差或其它参数的对象数据。重叠和对准残差通常显示跨越所述晶片的图案,其被称为指纹。此对象数据被用于通过执行多变量分析以将表示在多维空间中的晶片的矢量的集合分解为一个或更多个分量矢量,来获得诊断信息。使用所述分量矢量来提取关于工业过程的诊断信息。可以基于所提取的诊断信息来控制针对后续晶片的所述工业过程的性能。
在半导体制造设施中,半导体过程步骤可以因而将它们的指纹留在产品晶片上。除了对准传感器之外,所述光刻设备还具有可以测量这些指纹的许多在线传感器。这些包括调平传感器、用于将掩模版与晶片平台卡盘对准的传感器(例如,“透射图像传感器”或“并行集成式透镜干涉仪”型传感器)、以及与致动器稳定性相关的传感器。所述光刻设备的传感器是可以测量跨越衬底的空间地分布的参数的值的传感器的示例。除了表示跨越所述衬底上的空间分布的指纹之外,指纹还可以表示跨越晶片批次的不同晶片的过程参数的分布。本文献中的术语“过程参数”需要被解读为与半导体制造过程相关联的任何参数,该参数可能与产品单元(例如,衬底、晶片)上的(过程)参数指纹的指纹相关。因此,过程参数可以是与由(例如,在所述光刻设备或蚀刻设备内的)传感器所执行的测量相关联的参数,或与半导体制造过程内所使用的一个或更多个设备(例如,用于蚀刻的某个蚀刻腔)的配置相关的(情境)信息。例如,从“并行集成式透镜干涉仪”传感器所获得的指纹可以表示跨晶片批次的掩模版加热记号。传感器可以用来表征许多或所有的单独过程(例如,蚀刻、沉积、显影轨道或显影涂覆显影系统)。这是可能的,因为在晶片上的层的制造期间涉及所述扫描器至少一次。所述扫描器可以将其传感器每一层至少一次地应用到传递经过所述光刻过程的所有晶片。
可以经由许多传感器,从正在被曝光的晶片导出晶片、区带(zone)、场和管芯指纹。对存在于晶片上某个层集合上的指纹进行标识是有用的,并且将这些指纹的存在与处理和扫描器情境(从而用以发现“根本原因”)以及预期的产品性能影响(诸如,重叠、CD(临界尺寸)、聚焦、电压对比度、CD-SEM、电气测试)相关联(从而用以确定“产率影响”)。
本文提到的指纹是所测量信号的主要系统性贡献因素(或者“潜在因素”)。它们通常与晶片上的性能影响或之前的处理步骤相联系。它们可以指(例如,来自对准、调平、重叠、聚焦、CD的)晶片栅格图案、(例如,来自场内的对准、调平、重叠、聚焦、CD的)场图案、晶片区域图案(例如,晶片测量的最外半径)或甚至与晶片曝光相关的扫描器测量中的图案(例如,来自掩模版对准“透射图像传感器”或“并行集成透镜干涉仪”型传感器测量的批次间的加热记号、温度/压力/伺服分布,等等)。
为了获得信息以确定这些指纹,需要进行测量以获得与各个装置的影响相关的信息。然而,进行测量的方式可能是低效的。进行测量可能影响衬底的吞吐量并且降低衬底处理的效率。改善进行测量的方式可以确保进行较少的不必要的测量,而同时执行足够测量以维持经处理衬底的期望的准确度水平。
本文提到的测量数据可以是在晶片上执行的任何测量,并且旨在用于对例如调平、对准、重叠、CD、聚焦、SWA(侧壁角)等进行监控和控制。
本文提到的指纹库是可以均匀地或非均匀地编码的指纹集合或指纹组。
发明内容
发明人已设计一种用以确定控制方案的方式,以确定应在何处和何时对衬底进行测量和/或应如何处理衬底。发明人也设计一种确定对处理参数的指纹的贡献的方式。
本发明提供一种确定针对被配置成处理和/或测量至少一个衬底的装置的控制方案的方法,所述方法包括获得指纹模型和演化模型,其中所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据,并且所述演化模型表示所述指纹数据随时间的变化。所述方法还包括分析所述指纹模型和所述演化模型;以及a)使用所述分析来产生针对所述装置的采样控制方案,其中所述采样控制方案提供待在何处和何时对衬底进行测量的指示;和/或b)使用所述分析来产生针对所述装置的处理控制方案,其中所述处理控制方案提供如何控制对所述衬底的所述处理的指示。
本发明也提供一种针对由多个装置处理的衬底来确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献的方法,所述方法包括获得指纹模型和演化模型,其中所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据,并且所述演化模型表示所述指纹数据随时间的变化。所述方法也包括分析所述指纹模型和所述演化模型;以及针对由多个装置处理的衬底,使用所述分析和所述多个装置的已知的处理参数信息来确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献。
本发明也提供一种包括用以执行所述方法的计算机可读指令的计算机程序,和一种包括被配置成执行所述方法的步骤的处理器的系统。
附图说明
现在将参考随附附图通过示例来描述本发明的实施例,在所述附图中:
图1描绘光刻设备以及形成用于半导体器件的生产设施的其它设备;
图2描绘权利要求1的光刻设备,其中仅作为示例图示多个装置;
图3是图示参考指纹库和活动指纹库或有效指纹库的方法的流程图;
图4是一种方法的流程图;
图5图示所述有效指纹库的动态模型;
图6图示图4的所述动态模型的简化示例;
图7图示在实施本文中关于图1至图6所描述的方法中有用的计算设备硬件。
图8图示根据本发明的实施例的确定采样方案的方法;
图9图示包括与确定采样方案相关的可选步骤的方法,并且示出可以如何使用所述采样方案;
图10图示分析指纹和演化数据的方法;
图11示出基于真实数据的与图10类似的分析;以及
图12图示示例性光谱量值相对于时间频率的关系。
具体实施方式
在详细描述本发明的实施例之前,提供可以实现本发明的实施例的示例环境是有益的。
图1在100处示出了作为实现高容量光刻制造工艺的工业设施的一部分的光刻设备LA。在本示例中,制造工艺适用于在衬底(诸如,半导体晶片)上制造半导体产品(集成电路)。技术人员将理解,可以通过在该工艺的变体中处理不同类型的衬底来制造多种产品。半导体产品的生产纯粹用作在当前具有重大商业意义的示例。
在光刻设备(或简称“光刻工具”100)内,测量站MEA在102处被示出,并且曝光站EXP在104处被示出。控制单元LACU在106处被示出。在该示例中,每个衬底访问测量站和曝光站,以施加图案。例如,在光学光刻设备中,投影系统被用于使用调节的辐射和投影系统将产品图案从图案形成装置MA转印到衬底上。这是通过在辐射敏感的抗蚀剂材料层中形成图案的图像来完成的。
本文使用的术语“投影系统”应该被广义地解释为包括各种类型的投影系统,包括:折射、反射、反射折射、磁性、电磁以及静电光学系统、或其任何组合,视所使用的曝光辐射或者(诸如使用浸液或使用真空的)其它因素而定。图案形成装置MA可以是掩模或掩模版,其将图案赋予由图案形成装置透射或反射的辐射束。众所周知的操作模式包括步进模式和扫描模式。众所周知,投影系统可以以各种方式与用于衬底和图案形成装置的支撑件和定位系统协作,以将期望的图案施加到跨衬底的许多目标部分。可以使用可编程图案形成装置来代替具有固定的图案的掩模版。例如,辐射可以包括深紫外(DUV)或极紫外(EUV)波段中的电磁辐射。本公开还适用于其它类型的光刻工艺,例如压印光刻和(例如,通过电子束的)直写光刻。
光刻设备控制单元LACU控制各种致动器和传感器的所有移动和测量,使得设备接收衬底W和掩模版MA并且实现图案化操作。LACU还包括信号处理和计算能力,以实现与设备的操作相关的期望的计算。实际上,控制单元LACU将被实现为许多子单元的系统,每个子单元处理设备内的子系统或部件的实时数据采集、处理和控制。
在曝光站EXP处将图案施加到衬底之前,在测量站MEA处处理衬底,从而可以执行各种准备步骤。准备步骤可以包括使用水平传感器绘制衬底的表面高度,并且使用对准传感器测量衬底上的对准标记的位置。对准标记名义上被布置为规则的栅格图案。然而,由于产生标记时的不准确性以及由于在其整个处理过程中发生的衬底的变形,标记偏离理想的栅格。因此,除了测量衬底的位置和取向之外,如果设备要以非常高的精度在正确的位置打印产品特征,则实际上对准传感器必须详细地测量跨衬底区域的许多标记的位置。
光刻设备LA可以是具有两个衬底台的所谓的双台类型,每个衬底台具有由控制单元LACU控制的定位系统。当在一个衬底台上的一个衬底正在曝光站EXP处被曝光时,另一个衬底可以被加载到在测量站MEA处的另一个衬底台上,从而可以执行各种准备步骤。因此,对准标记的测量非常耗时,并且提供两个衬底台能够显著提高设备的生产量。如果位置传感器IF不能在衬底处于测量站和曝光站处时测量衬底台的位置,则可以提供第二位置传感器以使两个站处的衬底台的位置能够被追踪。当光刻设备LA是具有两个衬底台的所谓的双台类型时,曝光站和衬底站可以是不同的位置,可以在这些位置之间交换衬底台。然而,这只是一种可能的布置,并且测量站和曝光站不需要如此不同。例如,已知具有在预曝光测量阶段期间与测量台临时耦合的单个衬底台。本公开不限于任何类型的系统。
在生产设施内,设备100形成“光刻单元”或“光刻簇”的部分,“光刻单元”或“光刻簇”还包含涂覆设备108,涂覆设备108用于将光敏抗蚀剂和其它涂层施加到衬底W上,以便由设备100进行图案化。在设备100的输出侧,提供了烘烤设备110和显影设备112,用于将曝光的图案显影到物理抗蚀剂图案中。在所有这些设备之间,衬底处理系统负责支撑衬底并且将它们从一件设备传递到下一件设备。这些设备通常统称为“轨道”或涂覆显影系统,由轨道控制单元或涂覆显影系统控制单元控制,轨道控制单元或涂覆显影系统控制单元本身由管理控制系统SCS控制,管理控制系统SCS还经由光刻设备控制单元LACU控制光刻设备。因此,可以操作不同的设备以最大化生产量和处理效率。管理控制系统SCS接收选配方案信息R,选配方案信息R非常详细地提供了要被执行以创建每个图案化衬底的步骤的定义。
一旦已经在光刻单元中施加并显影图案,图案化的衬底120被传递到其它处理设备(诸如122、124、126所示)。通过典型的制造设施中的各种设备实现各种处理步骤。为了举例,该实施例中的设备122是蚀刻站,并且设备124执行蚀刻后退火步骤。进一步的物理和/化学处理步骤在其它设备126等中被应用。可能需要许多类型的操作来制造实际器件,诸如材料的沉积、表面材料特性的改性(氧化、掺杂、离子注入等)、化学机械抛光(CMP)等。实际上,设备126可以表示在一个或多个设备中执行的一系列不同处理步骤。
众所周知,半导体器件的制造涉及这种处理的许多重复,以在衬底上逐层地构建具有适当材料和图案的器件结构。因此,到达光刻簇的衬底130可以是新制备的衬底,或者它们可以是先前已经在该簇中或在另一设备中完全处理过的衬底。类似地,取决于所需的处理,离开设备126上的衬底132可以被返回以用于相同光刻簇中的后续图案化操作,它们可以用于不同簇中的图案化操作,或者它们可以是要发送用于切割和封装的成品。
产品结构的每一层需要处理步骤的不同集合,并且在每一层处使用的设备126在类型上可能完全不同。进一步,即使在要由设备126应用的处理步骤名义上相同的情况下,在大型设施中,也可能存在几个假设相同的机器并行工作以在不同的衬底上执行步骤126。这些机器之间的设置或故障中的微小差异可能意味着它们以不同的方式影响不同的衬底。甚至每一层相对共用的步骤(诸如,蚀刻(设备122))也可以通过几个名义上相同但并行工作以最大化生产量的蚀刻设备来实现。此外,在实践中,根据要被蚀刻的材料的细节,不同的层需要不同的蚀刻工艺,例如化学蚀刻、等离子蚀刻、以及特殊要求(诸如,各向异性蚀刻)。
如前所述,可以在其它光刻设备中执行先前和/或后续处理,并且甚至可以在不同类型的光刻设备中执行先前和/或后续处理。例如,器件制造工艺中对参数(诸如,分辨率和重叠)非常苛刻的一些层可以在比其它要求较低的层更先进的光刻工具中执行。因此,一些层可以在浸没型光刻工具中曝光,而其它层在“干”工具中曝光。一些层可以在工作在DUV波长的工具中曝光,而其它层则使用EUV波长辐射曝光。
为了使由光刻设备曝光的衬底正确且一致地曝光,期望检查曝光的衬底以测量特性(诸如,后续层之间的重叠误差、线厚度、临界尺寸(CD)等)。因此,光刻单元LC位于其中的制造设施还包括量测系统MET,量测系统MET接收已经在光刻单元中处理的一些或全部衬底W。量测结果直接地或间接地被提供给管理控制系统(SCS)138。如果检测到误差,则可以对后续衬底的曝光进行调整,特别是在可以很快地进行检查并且足够快以至相同批次的其它衬底仍然要被曝光的情况下。而且,已经曝光的衬底可以被剥离和再加工以提高产量,或者被丢弃,从而避免在已知有缺陷的衬底上执行进一步的处理。在仅衬底的一些目标部分有缺陷的情况下,可以仅对那些良好的目标部分进行进一步的曝光。
图1中还示出了量测设备140,量测设备140被设置为在制造过程中的期望的阶段处测量产品的参数。现代光刻生产设施中的量测设备的常见示例是散射仪(例如,角分辨散射仪或光谱散射仪),并且它可以在设备122中的蚀刻之前在120处被应用于测量显影的衬底的特性。例如,使用量测设备140可以确定重要的性能参数(诸如,重叠或临界尺寸(CD))不满足在显影的抗蚀剂中规定的精确度要求。在蚀刻步骤之前,存在剥离显影的抗蚀剂并通过光刻簇再处理衬底120的机会。众所周知,来自设备140的量测结果142可以被用于通过管理控制系统SCS和/或控制单元LACU 106随时间进行小的调整166来维持光刻簇中的图案化操作的准确性能,从而最大限度地降低产品超出规格的风险,并且要求返工。当然,量测设备140和/或其它量测设备(未示出)可以被应用于测量处理的衬底132、134和输入衬底130的特性。
用于确定指纹贡献的方法
以下示例描述可以如何确定多个装置中的一个装置的贡献。在下文进一步详细描述在本发明的实施例中可以如何使用这些示例。
在光刻制造过程的示例中,衬底是半导体晶片或其它衬底(在图案化步骤中将图案施加至所述衬底),和通过物理和化学过程步骤形成的结构。
在示例中,提供一种用于确定多个装置中的一个装置对与衬底的处理相关联的参数指纹的贡献的方法。因而,贡献可以被认为是部分贡献或相对贡献。将关于图2中示出的装置描述所述方法。如从图2将清楚的是,提供三个蚀刻装置122A、122B和122C且提供三个退火装置124A、124B和124C。接着在蚀刻步骤和退火步骤中进一步处理已在光刻设备中处理的衬底120。也可以包括其它步骤,例如如图2中示出的显影步骤。
为了蚀刻所述衬底120,衬底120可以传递穿过第一蚀刻装置122A、第二蚀刻装置122B或第三蚀刻装置122C。为了使衬底120退火,衬底120可以传递穿过第一退火装置124A、第二退火装置124B或第三退火装置。不同的蚀刻装置和退火装置中的每个装置将对得到的衬底134的指纹具有不同的影响。指纹可以是空间指纹,并且可以是场内和/或场间指纹,诸如衬底指纹,或场指纹、隙缝指纹,或是逐时间或序列的任何指纹。
如上文所指示的,所使用的不同的装置和设备可以用不同的方式影响不同的衬底。当已完全处理衬底(诸如衬底134)时,即使当已测量所述衬底的参数时,不必清楚不同的装置(例如不同的蚀刻装置122A、122B或122C和不同的退火装置124A、124B和124C)中的每个装置已如何影响所述衬底的参数的指纹。如上文所描述的,即使当使用相同类型的多个不同装置时,它们也可以用不同的方式影响衬底。期望以与所使用的特定装置无关的方式产生一致的衬底。因而,期望确定不同装置对所述衬底的影响。例如出于诊断的原因或为了改善控制而知晓装置可以如何影响衬底上的参数是有益的。可以用不同的方式使用这种信息,例如以决定特定装置何时需要作出变更,或何时可以用作反馈回路的部分以控制对衬底的处理,如下文进一步详细地描述的。
在这个示例中,提供一种用于确定多个装置中的一个装置对参数指纹的贡献的方法,所述参数与衬底的处理相关联。这可以意味着所述参数在所述衬底的处理期间受影响或受控制,或所述参数由所述处理产生。所述处理由装置执行并且可以包括各种不同的过程,诸如蚀刻和退火,如下文所描述的。可以关于各种不同参数的指纹来使用所述方法。所述参数可以是在衬底的处理期间受影响或受控制的任何参数。例如,所述参数可以选自包括临界尺寸、重叠、临界尺寸均一性、侧壁角、线边缘放置、对准、可以另外被称作调平的聚焦、图案移位、线边缘粗糙度、微形貌和/或边缘放置误差(EPE)的组。另外或替代地,所述参数可以选自包括诸如诸如侧壁角、抗蚀剂高度、和/或接触孔椭圆率之类的特征的形状描述的组。另外或替代地,所述参数可以选自包括诸如涂层厚度、可选地底部抗反射涂层厚度和/或抗蚀剂厚度之类的处理参数的组。另外或替代地,所述参数可以选自包括作为涂层的光学性质的处理参数的组,涂层的光学性质可以可选地指示吸收的量度,诸如折射率和/或消光系数。另外或替代地,所述参数可以选自包括从衬底测量结果所确定的参数(诸如产率参数、可选地缺陷和/或电气性能)的组。可以将所述方法应用于这些参数中的任一参数,并且可以对多个参数使用所述方法,这取决于哪些参数最受到特定使用者关注或对特定使用者最重要。
在这种实施例中,可以存在至少两种不同类别的装置。仅描述两种类别,但也可以提供额外的类别。特定类别中的装置可以用以执行类似的功能,诸如与在图2中所图示的示例中的蚀刻或退火。换句话说,给定类别中的装置属于相同的类型。例如,如图2中示出的,所述类别中的至少两种类别具有至少两个装置。这意味着在所述类别中的至少两种类别中可以存在属于相同类型的至少两个装置。所述衬底可以仅由第一类别装置中的一个装置处理和/或由第二类别装置中的一个装置处理,例如衬底可能由至少一个类别中的装置中的仅一个装置处理,或甚至每个类别中的装置中的仅一个装置处理。
在图2中描绘的示例中,存在所示出的装置的若干不同的类别。例如,蚀刻装置122A、122B和122C各自在第一类别装置中,并且退火装置124A、124B和124C每个都在第二类别装置中。如图2中示出的,存在三个蚀刻装置和三个退火装置。可以提供不同数目个类别、每个类别中的不同数目个装置,以及类别和每个类别中的数目的不同组合。
由于存在多个装置,因此期望确定单独的装置的效应。因而,所述方法用于确定多个装置中的一装置的贡献。以这种方式,可以计算单个装置的影响。如所描述的,所述参数可以与许多事物相关,但最通常地,所述参数是与衬底的处理相关联。因而,所述参数很可能受到处理所述衬底的影响,并且因而很可能受到多个装置中的不同装置以不同的方式的影响。
所述方法还包括获得参数数据和使用数据。所述参数数据基于针对已由多个装置处理的多个衬底的测量。进一步详细地,所述参数数据涉及与针对由多个装置所处理的衬底的参数相对应的测量。所述使用数据指示多个装置中的哪些装置用于衬底的处理中。换句话说,所述使用数据指示哪些装置已特定用于处理每个衬底。所述使用数据因而提供哪些单独装置(例如一类别的装置内的哪些特定装置)已用于对衬底进行处理的指示。用于对衬底进行处理的装置可以包括上文所描述的且在图1和图2中示出的装置,并且包括所述光刻设备100内的用于对衬底进行处理的一些装置,例如用于曝光的衬底台(在双平台光刻设备中)。
所述方法还包括使用所述使用数据和参数数据来确定贡献。因而,所述方法通过使用上文所描述的数据来确定来自多个装置中的一个装置对于与处理所述衬底相关联的参数的指纹的贡献。这可以用多种不同的方式来完成。
在这个示例中,所述方法还可以包括使用矩阵来确定所述贡献。所述矩阵可以指列或行中的量或表达式的阵列。所述矩阵可以是矩阵方程式的部分,可以对所述矩阵方程式求解以确定所述方程式中的未知值。所述方法可以包括使用所述使用数据来确定矩阵的步骤。确定所述贡献的步骤可以包括:对包含矩阵的方程式求解且使用参数数据。所述矩阵可以表示用以对多个衬底进行处理的装置。因而,所述矩阵可以用以限定装置对参数的指纹的贡献与所述参数数据之间的关系。这种示例可以与任何矩阵求解方法或其变型一起使用。如将在以下示例中所描述的,可以通过将所述矩阵的经变换的版本与参数数据相乘来对矩阵方程式求解从而确定多个装置中的一个装置的贡献,可选地其中所述矩阵的经变换的版本是所述矩阵的逆。
确定所述矩阵可以用多种不同的方式来完成。通常,矩阵的每个行表示已被第一类别装置中的至少一个装置和第二类别装置中的至少一个装置处理的衬底。因而,每个行表示已被至少部分地处理的衬底。这意味着提供正用以确定装置的影响的测量结果的每个衬底在矩阵中具有单个相对应的行。这可以对应于已传递穿过第一类别装置中的至少一个装置和第二类别装置中的至少一个装置的所述衬底。在图2的示例中,第一类别装置是蚀刻装置122A、122B,并且第二类别装置是退火装置124A、124B。矩阵中的每个列可以表示多个装置中的一个装置。所述衬底可以仅由任何特定类别的装置(例如第一类别装置中的一个装置和/或第二类别装置中的一个装置)处理。
在处理所述衬底时,其将传递穿过不同类型的装置,即不同类别中的装置。因而,所述衬底可以传递穿过多种类别中的至少一个装置。所述衬底可以传递穿过所述类别中的每个类别中的至少一个装置。对于每个衬底,可以收集和/或获得数据以指示哪些装置已用以处理所述衬底。如所描述的,这种数据是使用数据。所述使用数据可以用以产生所述矩阵。所述矩阵的行具有与用以处理所述特定衬底的装置中的每个装置相对应的非零项。换句话说,对于每个衬底,在所述矩阵中存在指示来自多个装置的哪个特定装置已用于对所述衬底进行处理的项。所述项可以是诸如1之类的值,或可以是子设计矩阵(下文将对其进一步详细地描述)。在示例中,可以针对单独的测量部位来对所述模型进行求解,其中每个部位处所述模型是:
xi=betchA,i+bannealB,i (1)
其中i指示测量数目,即指示测量与哪个衬底相关。在这个示例中,参数数据x基于来自已由蚀刻装置和退火装置处理的衬底的至少一个测量结果。A和B可以分别指示特定蚀刻和退火装置中的任一装置。例如,A可以用1替换,并且etch1可以对应于图2中的第一蚀刻装置122A,并且B可以用2替换,并且anneal2可以对应于图2中的第二退火装置124B。因为参数(例如b’的参数)是单个值且可以被解释为经分解的测量值,所以符号并没有被加粗。所有分解后的测量值可以根据需要而与指纹模型拟合。
针对多个衬底的单独的测量部位,所述多个衬底可以各自由至少一个装置处理。为了对方程式(1)求解,针对不同的衬底提供了多个方程式,即具有不同的i值。可以基于针对多个衬底的模型来用公式表示矩阵,并且方程式(1)可以适用于每个衬底。基于在特定部位处对衬底的测量结果的参数数据可以被书写为向量,其中x=[x1,x2,x3…xn]。可以将与针对每个衬底的方程式(1)等效的方程式组合为矩阵公式。可以将用于对参数的指纹进行估计的线性模型的矩阵公式表示为:
x=M·b (2)
符号被加粗,这是因为它们指代向量和矩阵。在这个示例中,x是基于对已由多个装置处理的多个衬底的测量结果的向量,即x是参数数据,b是线性模型的参数的向量,并且M是矩阵。衬底由矩阵M的行表示,因此矩阵M的行的数目与向量x的大小相同。每个列可以包含针对所有测量而评估的b中的一个参数的基底函数,并且每个行可以包含基于对一个衬底的测量结果而评估的所有基底函数。
在示例中,六个衬底(例如,分别具有参数数据x1、x2、x3、x4、x5、x6)由如图2中示出的第一蚀刻装置122A、第二蚀刻装置122B或第三蚀刻装置122C,和第一退火装置124A、第二退火装置124B或第三退火装置124C来进行处理。使用第一蚀刻装置122A和第一退火装置124A来处理第一衬底。使用第二蚀刻装置122A和第二退火装置124B来处理第二衬底。使用第一蚀刻装置122A和第三退火装置124C来处理第三衬底。使用第三蚀刻装置122C和第一退火装置124A来处理第四衬底。使用第二蚀刻装置122B和第三退火装置124C来处理第五衬底。使用第三蚀刻装置122C和第二退火装置124B来处理第六衬底。
每个衬底具有与方程式(1)相对应的方程式,并且来自用于不同衬底的装置的组合可以被应用于如在方程式(2)中的矩阵公式中。因而,对于这种示例,可以将方程式(2)的矩阵公式被完整地书写如下:
Figure BDA0002820709440000151
如所描述的,矩阵M的每个行指示衬底,并且每个列表示装置中的一个装置。因而,在这个示例中,可以产生矩阵M来表示第一行中的第一衬底、第二行中的第二衬底、和第三行中的第三衬底等等。此外,第一列可以与第一蚀刻装置122A相关、第二列可以与第二蚀刻装置122B相关、第三列可以与第三蚀刻装置122C相关、第四列可以与第一退火装置124A相关、第五列可以与第二退火装置124B相关且第六列可以与第三退火装置124C相关。
第一衬底应具有与第一蚀刻装置122A和第一退火装置124A相对应的非零项。因而,第一衬底(在第一行中)在矩阵M的第一列和第四列中具有非零项。由于第一衬底并没有由第二蚀刻装置122B、第三蚀刻装置122C、第二退火装置124B或第三退火装置124C处理,因此第一行在第二列、第三列、第五列和第六列中具有零项。
第二衬底应具有与第二蚀刻装置122B和第二退火装置124B相对应的非零项。因而,第二衬底(在第二行中)在矩阵M的第二列和第五列中具有非零项。由于第二衬底并没有由第一蚀刻装置122A、第三蚀刻装置12C、第一退火装置124A或第三退火装置124C处理,因此第二行在第一列、第三列、第四列和第六列中具有零项。
第三衬底应具有与第一蚀刻装置122A和第三退火装置124C相对应的非零项。因而,第三衬底(在第三行中)在矩阵M的第一列和第六列中具有非零项。由于第三衬底并没有由第二蚀刻装置122B、第三蚀刻装置122C、第一退火装置124A或第二退火装置124B处理,因此第一行在第二列、第三列、第四列和第五列中具有零项。
矩阵中关于第四衬底、第五衬底和第六衬底的项是以相同的方式来确定的。以这种方式,所述使用数据可以用以产生矩阵M。矩阵M明确指示多个装置中的哪些装置已用于对衬底进行处理。因而,确定与方程式(3)中的矩阵相对应的矩阵M,如下文所示出:
Figure BDA0002820709440000161
与上述方程式相同的方程式可以被应用于较复杂的模型,诸如当参数数据基于被预处理的测量时。在示例中,可以将来自不同装置的贡献的模型书写如下:
x=MA·bA+MB·bB (5)
其中A和B是第一装置和第二装置的标签。用于装置A与B的模型可以不同并且可以具有不同数目的参数,这将会导致所述向量b具有不同大小,并且矩阵M具有不同数目的列。如前所述,x也是向量。这种示例对应于方程式(1)但使用子设计矩阵。
对方程式(5)求解需要多于一组测量。因而,参数数据基于对如方程式(6)中示出可以是第一衬底和第二衬底的多个衬底的测量:
Figure BDA0002820709440000162
数值下标指示已经处理的衬底,并且A和B是用以对所述衬底进行处理的装置的标签,与在方程式(5)中一样。(6)中的每个方程式可以与针对一个衬底的模型/指纹相关,即与单个衬底上的多个部位相关。
可以将方程式(6)重写为:
Figure BDA0002820709440000171
其示出所述设计矩阵可以如何由多个子设计矩阵(例如MA,1、MA,2…等等)构成。通常可以将每个子设计矩阵书写为MX,i,其中i指示衬底并且X指示用于衬底上的装置。子设计矩阵MX,i是设计矩阵M内的矩阵。对两个线性模型进行组合的这种机制可以被扩展为包括针对额外的衬底和/或装置的较多贡献因素的模型。所述子设计矩阵可以是零项子设计矩阵或非零子设计矩阵。零项子设计矩阵可以对应于多个装置中的没有用以对衬底进行处理的装置。所述零项子设计矩阵可以是仅包括0项的矩阵。单个矩阵中的子设计矩阵都可以具有相同的大小,使得所述零项子设计矩阵与所述非零项子设计矩阵具有相同的大小。非零子设计矩阵可以对应于用以对衬底进行处理的装置中的每个装置。所述非零项子设计矩阵可以基于从相应的装置和衬底对指纹的所建模的贡献。换句话说,非零项子设计矩阵可以包括对从特定装置对特定衬底的贡献进行建模的信息。可以使用零项子设计矩阵来替代上文所描述的矩阵中的零项,并且可以使用非零项子设计矩阵来替代上文所描述的矩阵中的非零项。
在本示例中,对于每个衬底,创建了其中一个项是针对用于所述衬底上的每个类别装置的模型,例如:
Figure BDA0002820709440000172
在这个示例中,蚀刻指示第一类别装置,即蚀刻装置,并且退火指示第二类别装置,即退火装置。0矩阵具有与矩阵MX,i相对应的维度。
多个装置中的每个装置可以用以处理多个衬底中的至少一个衬底。换句话说,为了对包括所述矩阵的方程式进行求解以确定来自多个装置中的一个装置的贡献,所述装置必须已用于所述矩阵中的衬底中的至少一个衬底上。存在的装置的组合越少,则得到的确定中的不确定度越大。
虽然蚀刻装置和退火装置用作上文所描述的示例,但可以使用任何不同类型的装置(以及一种类型中的任何数目的装置和任何数目的不同类型的装置)。所述装置可以是影响上文所描述的参数的任何装置。因而,不同类型可以包括蚀刻装置、沉积工具、衬底台、诸如化学机械平坦化装置之类的抛光装置、诸如快速热退火装置之类的退火装置、清洁装置、诸如用以涂覆抗蚀剂的涂覆装置之类的涂覆装置、显影装置、轨道装置或涂覆显影系统装置、植入装置和/或焙烤装置。如将会理解的,装置的任何组合可以是可适用的且可以用于衬底上。贡献的确定可以随着装置的数目增加而增加复杂度,但使用所描述的矩阵方法仍将会是可能的。
所述参数数据可以与以不同的方式进行的测量相关(所述测量可以另外被称作测量数据)。在示例中,所述参数可以与测量结果相同。换句话说,可以不执行对测量结果的预处理,并且可以在无初始处理的情况下使用参数数据来确定贡献。替代地,可以使用多种不同的方法来处理所述测量结果以提供参数数据。换句话说所述,参数数据基于经处理的测量。例如,可以通过使用主成分分析或将模型(优选地,多项式模型,并且更优选地,线性模型)拟合至测量结果来处理所述测量结果,例如使用泽尼克(Zernike)分析。使用泽尼克分析可以使用如上文所描述的子设计矩阵。主成分分析(例如使用统计或空间相关性)可以具有过滤噪声的优点。可以使用可以仅基于主指纹的统计相关性,其抑制在多个衬底之间较小或不相关的差异。基于经处理的测量结果的参数数据可能导致如关于方程式(5)至(8)所描述的子设计矩阵。
可以使用不同类型的拟合且它们可以有效地用于抑制噪声。因而,参数数据可以是基于对已由多个装置处理的衬底的测量结果的模型。这可以降低所需的测量数目,而同时仍以达到期望程度的准确度来提供可用参数数据。
可以使用多种不同的方法对包括矩阵的方程式进行求解。最通常地,可以通过将矩阵的经变换的版本与参数数据相乘来对所述矩阵方程式进行求解。例如,可以使用标准线性代数技术对以上方程式(2)求解,例如可以使用最小二乘法拟合来对包括矩阵的方程式求解:
b=(M′·M)-1·M′·x (9)
且类似的方法可以被应用于方程式(7)。使用最小二乘法拟合的优点在于:这可以比其它方法更快速,并且可以在无显式矩阵求逆的情况下使用例如彭罗斯(Penrose)伪逆或QR分解对其求解。另外,以上方程式(例如方程式(2)和/或(7))可以被调适以包括噪声方差的指示,使得噪声方差具有减小的效应。然而,其对于未确定系统并不适用,并且可能存在过度拟合。如果M’M矩阵几乎是奇异的,则存在使问题可能更易于解决的替代技术,例如奇异值分解(SVD)。
可以基于以下定理,使用奇异值分解,其中:
M=UmxmSmxkVkxn (10)
其中U和V各自是单式矩阵(或酉矩阵)、UTU=Inxn且VTV=Ipxp(即U与V正交),并且S是对角矩阵。下标m和n值分别表示每个矩阵的行和列的数目,即U是m乘m矩阵且S是m乘k矩阵。这可以被应用于以上方程式,使得:
xmxp=UmxmSmxkVkxnbnxp (11)
可以对这种方程式求解以提供以下解:
b=Vk(Sk TSk)-1Sk TUTx (12)
问题的部分在于:矩阵很可能是超定的,这意味着不必存在一个简单解。这意味着对矩阵求逆常常不会起作用。对于任何解,可以通过在将任意数目或向量加至一个组的所有b或b、且同时从另一组的b或b减去相同数目的向量,来产生无穷大数目的替代解。
用以克服这种问题的一种有用方式是使一类别装置的平均贡献为零,并且平均指纹在新b或b中。
参考以上方程式,这意味着将额外的bglobal加至所述模型,并且将存在有在对模型求解期间可以处理的以下两个额外的约束:
betch1+betch2=0 (13)
baaneal1+banneal2=0 (14)
包括额外的约束的这个示例基于对上文所描述的方程式8进行调适。
替代地,在测量或衬底具有被移除的列贡献因素标签的情况下,则可以通过移除每个组的列中的一列并且在该组的剩余列中添加-1,使额外的约束在矩阵中是显式的。另外,所移除的贡献因素b或b也被移除。在接下来的示例中,基于方程式(3),每个组的最后一个贡献因素被移除:
Figure BDA0002820709440000201
在对系统求解之后,可以将betch3计算为-(betch1+betch2);同样地,-(banneal3为banneal1+banneal2)。
也可以基于正则化,使用最小二乘法拟合来对包括所述矩阵的方程式求解。可选地,可以使用L曲线法和/或留一交叉验证(leave-one-out cross validation)法。正则化技术可以用以防止过度拟合。这些方法可能在抑制随机性方面是有益的,其可能包括测量噪声和/或其它贡献因素。P.C.Hansen的“The L-curve and its use in numericaltreatment of inverse problems”中描述了L曲线法的使用(https://www.sintef.no/globalassets/project/evitameeting/2005/lcur ve.pdf),其以全文引用的方式而被合并入本文中。正则化通常限制所拟合参数的范围,例如减少高频,并且其仍允许使用全模型,例如混合模型,但隐式地抑制测量结果中的噪声。例如,诸如脊回归之类的正则化技术可以用以减小或最小化拟合误差。可以基于以下方程来使用这样的技术:
b=(MTM+λI)-1MTx (16)
简而言之,对于一组拉姆达(λ),所述工序包括针对所有组合遗漏一个晶片(因此晶片1至19、晶片1至18+20等等)且以特定λ对方程式(16)中的模型求解的步骤。另外的步骤包括计算所遗漏的晶片的拟合误差、计算平均拟合误差、绘制相对于λ的平均拟合误差,并且选择具有最小拟合误差的λ。也可以使用贝叶斯(Bayesian)统计来对包括所述矩阵的方程式求解,贝叶斯统计的优点是避免过度拟合、可以系统地合并先前的知识并且可以提供结果分布而不是单个点。然而,可能需要繁重的计算处理并且可以存在较大的存储器要求。
在多维测量(例如,重叠)的情况下,可以分别对x和y应用以上方法。
在以上示例中,矩阵中的项可以仅为1(作为非零项),或为零,其指示用以处理特定衬底的特定装置。然而,所述矩阵可以包括如方程式(5)至(8)中所示出的至少一个子设计矩阵。换句话说,矩阵中的项可以包括另一矩阵。例如,当在单独的部位处确定所述参数时可以使用较简单矩阵,并且例如通过在处理多个部位时使用子设计矩阵,则所述矩阵可能是较复杂的。子设计矩阵内容的内容可以变化,例如,不同子设计矩阵可以用于不同类别,例如偏移/曲率用于一类别装置且全局用于另一类别装置。
上文描述了,非零项可以是1或子设计矩阵。这对于理想分解是优选的。然而,在实践中,指纹可能交叉相关,在这种情况下,非零项可能具有除1以外的值。例如,值可以是权重。因此,例如,M矩阵(方程式4)中的值可以包括零项和非零项,其中非零项不限于1。
在上文所描述的示例中,可以确定矩阵,且接着将所述矩阵用于确定多个装置中的一个装置对参数指纹的贡献。如所描述的,存在可以确定所述矩阵的若干方式。上文所描述的方法设法寻找对所印制的衬底W的参数有负面影响的不恰当的干扰源。可以基于情境数据来分解所述衬底W的测量结果以算出特定装置的贡献。以这种方式,所述方法可以用于以相对良好的准确度来确定什么会影响所印制的衬底的参数。以这种方式,可以考虑(即最小化和/或校正)来自特定装置的对参数的负面贡献。
富含足够信息的数据集合可以用以恰当地分解参数的指纹以确定特定装置的贡献。这可以意味着需要相对较大的数据集合,这可能成本较高。换句话说,可能问题在于:可能需要以多种不同的方式处理大量衬底W,并且接着测量所述衬底W以便针对任一装置来确定特定的指纹。另外,在数据集合中可能存在相对大量的冗余数据。为了得到所需的数据集合,周期性地/随机地采样等可以用以减少需要处理和测量的衬底的数目。然而,可以通过使用如下文所描述的较有针对性方法来提供较好数据集合。所述方法可能指示应在何处执行测量并且对多少衬底W执行测量。因而,所述方法可以包括用以优化所述矩阵的确定从而使得需要使用较少衬底W来确定不同装置的贡献的步骤。
更详细地,例如,通过确定哪些装置将要用以处理所选择的额外的衬底,可以用特定方式来确定矩阵,以向客户提供关于应测量哪些衬底W和/或应使用哪些装置来处理衬底以在最短时间量内测量有用数目的衬底的建议,和/或建议客户如何利用减少的或最小数目的衬底来扩展数据集合,作为对于已经搜集的数据集合的附录。
最通常地,用于确定矩阵的方法是关于选择应用于多个衬底的过程步骤的线程。这意味着矩阵可以用以选择应使用多个装置的哪种组合来处理在所选衬底组中的单独的衬底。所述方法包括选择可以与矩阵中的行相对应的第一线程(例如,用于对衬底进行处理的装置的第一组合)。所述方法还可以包括基于预期改善(可以利用所述预期改善来确定与所述第一线程和所述第二线程相关联的过程步骤的特性)来选择至少一个另外的线程(例如,用于对至少一个另外的衬底进行处理的装置的至少一个另外的组合)。
更详细地,在这个示例中,用于确定矩阵的方法还可以包括以下步骤,其优选地按以下次序提供:
a.产生第一矩阵N,所述第一矩阵表示用来对衬底W进行处理的多个装置的可能组合;
b.确定第一矩阵N的针对每个行i的向量ni
c.针对每个行计算差量协方差矩阵ΔYi,其中所述差量协方差矩阵是ni Tni
d.从所述第一矩阵N选择行i,并且将所选行储存为第二矩阵M;
e.确定是否已满足停止准则,其中:如果没有满足所述停止准则,则使用经更新的第一矩阵N来继续步骤f,在所述经更新的第一矩阵N中,所述选定行被移除;并且如果满足所述停止准则,则将所述第二矩阵M用作所确定的矩阵;
f.计算与经更新的第一矩阵N的每个剩余行相对应的伪行列式;
g.确定具有优选伪行列式的行、更新所述第二矩阵M,以包括具有优选伪行列式的所述行,并且通过移除具有优选伪行列式的所述行来更新第一矩阵N;
h.确定是否已满足停止准则,其中:
如果没有满足所述停止准则,则返回至使用经更新的第一矩阵N的步骤f,其中具有优选伪行列式的行被移除;并且如果满足所述停止准则,则将经更新的第二矩阵M用作所确定的矩阵。
以这种方式确定所述矩阵意味着可以在使用最小数目的测试衬底的同时确定所印制的衬底W的参数已如何受影响。这种方法提供了采样方案优化,其允许选择量测目标来以最小量测负荷产生信息性测量结果。因此,使用这种方法意味着可以减少客户的量测负荷(即,需要测量的衬底的数目),而同时仍然提供有益于使用者的矩阵。
上文所描述的方法向客户提供关于哪些衬底已由哪些特定装置处理,以基于第二矩阵M的内容进行测量的指导。这是有益的,因为其使客户的测量工作最小化,而同时能够实现在估计贡献中的良好准确度。这提供对测量额外衬底的具成本效益的替代方案。
在本方法中,可以根据最佳的、可能有限的线程组合(即,用于处理单独衬底的特定装置组合)来处理衬底W,这意味着仅使用来自这些衬底的测量。最具信息性的线程的这种选择得到准确的特定于情境的指纹确定。用于上文所描述步骤中的协方差分析技术通常并不需要执行大量的计算处理。此外,这种方法的有利之处在于:如果已针对大量线程来处理大量衬底W,则所述方法可以用以指导应执行哪些性能参数测量以确定特定于情境的指纹信息。
应注意,第一矩阵N使用了使用数据,这指示多个装置中的哪些装置用于每个衬底的处理。因而,第一矩阵N可以表示用以对衬底进行处理的多个装置的所有可能的组合。
第一矩阵N可以另外被称作情境混合矩阵,即,保持衬底可以穿越的所有可能情境路径的矩阵,即可用以对衬底进行处理的装置的所有不同的可能的组合。针对第i行向量的向量ni可以另外被称作第i行向量。当将第一行添加至第二矩阵M时,这可以被称作初始化采样方案即对采样方案进行初始化,其中第一矩阵N的样本用于形成第二矩阵M。
针对每个行的差量协方差矩阵ΔYi的大小可以基于第一矩阵N的大小。如果矩阵N具有尺寸n_a乘n_b,则ΔYi具有大小n_b乘n_b,即,具有相同数目的行和列的正方形矩阵。如所描述的,n_b可以是装置的量,而n_a可以指示装置的多个组合。
当行选自第一矩阵N且储存在第二矩阵M中时,可以将新行随附至第二矩阵M并且处于第二矩阵M的底部处。因而,以这种方式,可以将行从第一矩阵N转移至第二矩阵M,并且添加至第二矩阵M的所述行可以用有序的方式被添加。这是有利的,因为如果所述第二矩阵M需要在稍后日期被截断,例如如果使用者需要进一步限制所测试的衬底的数目,则可以在减小第二矩阵M的同时维持所述第二矩阵M的顶部处的最佳行。另外,如果以有序的方式将行添加至第二矩阵M,则这可能允许使用者更易于评估实验的优选大小。例如,可以将某一关注的参数与衬底/用于衬底上的处理装置的不同组合的数目进行比较。例如,可以依据实验的“大小”的函数来绘制所述参数。这可以用以检查超过某一阈值需要M的多少行,即满足停止准则。接着这种表示你的实验的“大小”(例如,需要采样的衬底的数目)。
上文所描述的方法基本上使用步骤f至h以探测所述第一矩阵N中剩余的行中的每个行,从而确定所述行中的哪一行是最有价值的。接着将最有价值的行添加至另外的矩阵。所述另外的矩阵是第二矩阵M。换句话说,第二矩阵M可以用在如上文所描述的示例中的线性方程式中。所述第二矩阵M可以稍后用以确定多个装置中的一个装置的贡献。当从所述第一矩阵N移除一行时,重复所述过程且接着探测剩余行以确定剩余行中的哪一行是最有价值的。接着将下一个最有价值的行添加至第二矩阵M。以这种方式,可以将第一矩阵N的最有价值的行添加至第二矩阵M,直至满足停止准则。可以取决于如下文所描述的多种不同的选项来选择或预定所述停止准则。
如上文所描述的,计算了用于每个行的伪行列式以确定具有优选伪行列式的行。通过将针对每个的差量协方差矩阵ΔYi添加至第三矩阵Y来计算伪行列式,其中第三矩阵Y是第二矩阵M的协方差。此外,可以接着计算行列式,该行列式是如下两者的总和:第三矩阵Y,
和用于所述行的差量协方差矩阵ΔYi。因而,计算出了Y+ΔYi的行列式。在每次迭代中,即针对第一矩阵N的每个行i,必须评估Y+ΔYi的伪行列式。这等于当前第二矩阵M与ΔYi(针对第一矩阵N的行i)的协方差的和。针对第一矩阵N的所有行i进行这种计算。第三矩阵Y被追踪,并且表示第二矩阵M的协方差。因此,不论何时更新第二矩阵M,也都会相应地更新所述第三矩阵Y。
第一矩阵N的行可以表示用以对衬底进行处理的多个装置的可能的组合。第一矩阵N的列可以表示所述多个装置中的一个装置。在这种情况下,第一矩阵N的行可以具有与用以对由该相应行所表示的衬底进行处理的多个装置中的每个装置相对应的非零项。类似地,第一矩阵N可以具有与没有用以对由该相应行所表示的衬底进行处理的多个装置中的每个装置相对应的零项。
上文描述了,确定所述优选伪行列式并且接着将其被包括在这种第二矩阵M中。所述优选伪行列式可以另外被称作最佳伪行列式。所述优选伪行列式可以被认为是具有最高值的伪行列式。换句话说,可以将第一矩阵N的获得最高伪行列式的行n添加至第二矩阵M。也可以使用其它替代方案。例如,可以使用inv(Y+ΔYj)的迹线(所述迹线是遍及对角线元素的总和)。在这种情况下,具有迹线的最小值的行可以具有所述优选伪行列式。替代地,可以利用v_j=sqrt(x_j*inv(Y+ΔYj)*x_j’)来最小化v_j的值,其中x_j是组合后的矩阵[M;N]的第j行。在这种情况下,具有v_j的最大值的行可以具有所述优选伪行列式。
在计算针对每个行的差量协方差矩阵之后,从所述第一矩阵N选择行(作为第一选定行)。首先选定的行可以是第一矩阵N的第一行。然而,这不是必需的,其简化了所述过程,因为第一选定行仅仅是第一矩阵N的第一行。
如上文所描述的,如果满足停止准则,则可以停止用于确定所述矩阵的过程。所述停止准则可以基于多种不同的选定准则。停止准则可以另外被称作关键性能指标。所述停止准则可以具有预定值或选定值。但可以例如取决于使用者的选择来变更这种值。
更详细地,如果用于第二矩阵M中的行的数目达到预定值,则可以满足所述停止准则。另外或替代地,如果第一矩阵N的所有行都用于第二矩阵M中,则可以满足所述停止准则。另外或替代地,如果性能参数的值达到预定值,则可以满足停止准则。所述性能参数可以是与衬底相关的任何参数。例如,所述性能参数可以选自包括以下各项的组:i.临界尺寸、重叠、临界尺寸均一性、线边缘放置、对准、聚焦、图案移位、线边缘粗糙度、微形貌、和/或边缘放置误差;和/或ii.特征的形状描述,诸如侧壁角、抗蚀剂高度、和/或接触孔椭圆率;和/或iii.处理参数,诸如涂层厚度、可选地底部抗反射涂层厚度和/或抗蚀剂厚度,和/或涂层的光学性质,其可以可选地指示吸收量度,诸如折射率和/或消光系数;和/或iv.从衬底测量结果所确定的参数,诸如产率参数,优选地为缺陷和/或电气性能。应理解,除了本文中所描述的停止准则以外或作为本文中所描述的停止准则的替代方案,使用者也可以选择其它停止准则。
当使用第一矩阵N的所有行时,仍可以根据相关性,在第二矩阵M中将所述行有效地分类,这是因为最佳行可以朝向第二矩阵M的顶部来提供。因而,第二矩阵M中的行的列表可以稍后被截断成减小的大小。换句话说,当第一矩阵N的所有行都用于第二矩阵M中时,得到的矩阵可以稍后被截断,从而导致与其它停止准则相同的效应,诸如预定数据集合。
以上方法步骤包括各种确定、计算和选择步骤。应理解,在这些步骤中的每个步骤期间和之后所使用的数据可以被储存在适当的数据储存介质(例如,半导体存储器、磁盘或光盘)中。
提供第二示例,其中在不需要使用矩阵的情况下(但可以可选地使用矩阵方程式)确定所述贡献。除了使用矩阵之外,第二示例与第一示例相同。所述第二示例可以包括使用所述使用数据来分析参数数据的变化,即,对测量数据执行“变化分析”(ANOVA)的步骤。在这个示例中,一般想法是,当根据所述使用数据将测量数据分组时评估测量数据之间的变化。因而,第二示例的方法包括通过使用经分析的变化将测量数据分组从而确定对每个装置的参数的贡献。另外,可以使用指纹模型来评估来自各个参数的对指纹的贡献。利用指纹的模型基于数据的主成分分析系数(也被称为负荷)而最高效地完成这种评估。
为了确定装置对参数指纹的贡献,每个测量部位的ANOVA工序(例如,Matlab的“anovan”),并针对每个类别装置获取每个装置的组测量结果的平均值与全局平均值之间的差,并将其视为分解后的测量结果。所有分解后的测量结果可以接着根据需要与指纹模型拟合。
第一示例或第二示例中的任一示例中的方法可以用于对装置进行控制。所述装置中的至少一个装置可以基于该装置对参数指纹的所确定的贡献来控制。因而,针对装置的所确定的贡献可以用以变更由该装置执行对衬底的处理的方式。换句话说,由特定装置不期望地引发的指纹的任何误差或变化可以通过基于所述装置的所确定的参数贡献来控制所述装置从而被减小或消除。另外或替代地,可以基于所确定的参数贡献来控制包括光刻工具100的其它装置。如所描述的,所述参数可以是许多不同的事物。所述参数可以是直接地或间接地影响由装置所施加的控制的任何参数。
上文描述了,矩阵的行可以表示衬底,并且尤其表示已由第一类别装置中的至少一个装置和第二类别装置中的至少一个装置处理的衬底,并且列表示多个装置中的一个装置。然而,应理解,可以切换行与列。因而,矩阵的行可以表示多个装置中的一个装置并且列可以表示衬底。换句话说,上文所描述的矩阵可以被转置。
通常,上文所描述的方法用于至少两个类别,和至少两个类别中的至少两个装置,这是因为这使得矩阵超定。理论上,至少一个类别可以仅具有一个装置,并且这仍将会有用于确定多个装置的效应。至少一个类别可以包括多于两个不同的装置,如上文关于方程式(7)和(8)所描述的示例中示出。理论上,可能仅存在一种类别的装置且在该类别内存在多个装置。在这种情况下,例如基于这些服务获得平均指纹仍将会是有用的。替代地,虽然本说明书提及第一类别和第二类别,但可以存在多于两个不同的类别。所述类别可以包括上文所描述的类别的类型、所描述类别中的至少一个类别与至少一个额外的类别的组合,或可以提供不同的类别。可以存在不同数目的类别并且在每个类别中存在不同数目的装置。
在示例中,提供一种系统,所述系统包括处理器,处理器被配置成确定多个装置中的一个装置对于参数指纹的贡献,所述参数与衬底的处理相关联。所述处理器被配置成根据上述示例中的任一示例执行所述方法。所述处理器可以是自动化过程控制(APC)系统和/或管理控制系统的部分,或连接至自动化过程控制(APC)系统和/或管理控制系统。
所述处理器可以被配置成确定多个装置中的一个装置对参数指纹的贡献,所述参数与衬底的处理相关联,所述处理器被配置成:获得参数数据和使用数据,其中所述参数数据基于对已由多个装置处理的多个衬底的测量结果,并且所述使用数据指示用于对每个衬底的处理的装置;和使用所述使用数据和所述参数数据来确定贡献。
在示例中,提供一种计算机程序,其包含机器可读指令的一个或更多个序列,所述机器可读指令描述确定多个装置中的一个装置对参数指纹的贡献,所述参数与衬底的处理相关联。可以使用包含机器可读指令的一个或更多个序列的计算机程序来实施上述方法中的任一方法。也可以提供一种其中储存有这种计算机程序的数据储存介质(例如,半导体存储器、磁盘或光盘)。
提供一种用于控制确定多个装置中的一个装置对参数指纹的贡献的程序,参数与衬底的处理相关联。所述程序可以包括用于执行以下步骤的指令:获得参数数据和使用数据,其中参数数据基于对已由多个装置处理的多个衬底的测量结果,并且所述使用数据指示多个装置中的哪些装置用于每个衬底的处理;以及使用所述使用数据和所述参数数据来确定所述贡献。所述程序可以包括用于执行上文所描述的方法中的任一方法的步骤的指令。
计算机程序可以例如在图1的控制单元LACU内执行,或在例如包括量测设备140的量测系统内的某一其它控制器内执行,或在先进过程控制系统或单独的咨询工具中执行。可以可选地将所述程序储存在存储器中,存储器是自动化过程控制(APC)系统和/或管理控制系统的部分,或可以由自动化过程控制(APC)系统和/或管理控制系统存取。
上文所描述的方法用于确定装置对参数指纹的贡献。这允许确定多个装置中的单个装置的贡献。这可能特别适用于诊断用于对衬底进行处理的装置的性能和/或控制用于对衬底进行处理的装置。
这种方法允许提供光刻设备和操作光刻设备的方法,在该方法中确定装置对参数指纹的贡献。
确定装置对参数指纹的贡献的步骤可以在可以位于图1的设施中的任何位置或可以实体地远离所述设施的任何合适的的处理设备中来执行。可以在设备的分立部分中执行所述方法的步骤。
可以在图1的管理控制系统中、或在光刻工具控制单元LACU中计算出贡献、参数数据和/或使用数据。可以在远程系统中计算贡献、参数数据和/或使用数据,并且然后将贡献、参数数据和/或使用数据往后传送至设施。任何模型和测量数据可以单独地传递至处理设备,处理设备接着将它们组合以作为确定所述贡献的部分。
将上述方法和变化描述为使用光刻设备来执行。然而,可以使用其它设备。光刻制造过程的处理步骤仅是可以应用本公开的原理的一个示例。光刻过程的其它部分和其它类型的制造过程也可以得益于经修改的估计的产生和以在本文中所披露的方式的校正。
用于维护指纹的方法
以下示例描述了可以如何维护指纹信息。下文进一步详细描述了可以如何将其用于本发明的实施例中。
所述方法的示例提供通过使用光刻设备提供了通过集成电路的生命周期来表征完整的层堆叠的能力,光刻设备利用其内置传感器来捕获通过层堆叠的指纹。该表征与限定每一层的曝光之间的处理步骤相关。通过层堆叠的指纹的分析提供了校正在复杂和时变的多层过程中的现象的能力。例如,新设备和新工艺选配方案不断生成新的指纹。
除了对准传感器之外,光刻设备还具有许多在线传感器。这些包括调平传感器、用于将掩模版对准晶片台卡盘的传感器(例如,“透射图像传感器”或“并行集成透镜干涉仪”型传感器)、和与致动器稳定性相关的传感器。光刻设备的传感器是可以测量跨衬底的空间地分布的参数值的传感器的示例。除了表示跨衬底的空间地分布的指纹之外,指纹还可以表示跨晶片批次的不同晶片的工艺参数的分布。例如,从“并行集成透镜干涉仪”传感器获得的指纹可以表示跨晶片批次的掩模版加热识别标志。示例利用这些传感器来表征许多或所有单独的过程(例如,蚀刻、沉积、显影轨道)。这是可能的,因为在晶片上的层的制造期间至少涉及一次扫描器。扫描器可以将其传感器每一层至少一次地应用到通过光刻工艺的所有晶片。
用于确定指纹的扫描器传感器可以是动态的。例如,对于一个层,可以发现对准传感器对于确定代表处理步骤的指纹是最有用的,而对于另一个层,调平传感器可以提供更多信息。传感器的使用和产生最具代表性结果(例如,用于工艺控制和处理设备优化的最有用的信息)的传感器信号的组合可能会发生变化,并且机器学习方法可以被用于收敛到每层最佳传感器信号群集(例如,第1层:对准颜色1,第二层:对准颜色2+调平,……等等)。
如上所述,通过层堆叠处理晶片,光刻设备可以在所有不同步骤处捕获指纹。指纹图案可以通过执行通过堆叠分析彼此相关,并且跨层共用的指纹可以与在每一层处发生的事件和现象相关联。这使得可以适当地优化处理设备以用于后续处理步骤。由优化的设备执行的这些后续处理步骤可以施加到从其获得指纹的晶片。在这种情况下,在晶片的处理期间通过其层堆叠施加校正,作为一种实时校正。这是在多阶段处理中的后续阶段处优化用于处理从其测量对象数据的产品单元的设备的示例。由优化的设备执行的后续处理步骤可以应用于贯穿其它晶片(包括将来处理的晶片)的处理的步骤。优化的设备可以被用于在工艺流程中的早期阶段和后期阶段执行处理步骤,而不是在执行分析和优化的阶段执行处理步骤。优化可以涉及固定所标识的处理设备和/或工艺选配方案。它还可能涉及经由对准或重叠校正使用专用扫描器校正。
示例提供了输入测量数据中的当前相关指纹的动态细化(自适应)计算,而无需将指纹硬分配给工艺控制线程。可以针对各种关键性能指标(例如,可用扫描器数据)和产量确定指纹。使得不确定性明确,并且所有数据可以被用于所有指纹的改进的估算(不一定每个线程都具有“硬”分裂),而因子模型使推理机制易于处理。
示例通过组合来自领域知识的指纹并且通过将新的输入测量数据分解到有效指纹库中来提供最佳初始化和在线分解。
在分解所接收的测量数据之后,可以标识下面的主要指纹。基于分解,动作可以被标识以进行改进。
示例提供了与情境和产量影响评估的即时链接。指纹可以被链接到半导体制造设施中的输入情境历史,并且被用于实时产量(电探测、电压对比度、CD-SEM)影响评估。可以在IC制造过程期间执行产量评估,这比可用的实际产量数据早得多。
示例提供了一种实时地对晶片测量发现并跟踪被称为有效指纹(AFP)的贡献因素的方法。晶片测量包括但不限于对准、调平、重叠和CD。为了实现这一点,示例结合了关于常见指纹(被称为参考指纹(RFP))的现有知识(即领域专业知识和现有数据挖掘)以及实时数据分析,以便发现晶片测量中的主要贡献因素。换句话说,它通过将晶片测量分解为已知指纹(RFP)的当前实例化(AFP)来发现贡献因素。此外,在当前的AFP集合不足以解释测量时,示例具有跟踪AFP中的系统变化和漂移(演变)的机制。此外,可以在模型中集成验证器,该模型相对于来自测量的统计证据检查新发现的AFP,以验证所发现的AFP是否是系统的而不是由于噪声。示例通过利用用于量测估算的模型来实现动态晶片控制。
因此,我们能够监测测量中不同因素的贡献以及跟踪它们放在晶片上的指纹。这样就形成了一种更自然的半导体制造设施工艺模型,该模型能够实现每晶片最优控制估算和产品性能影响分析的自适应和动态控制机制。此外,该方法可以促进根本原因和性能影响分析,因为这些因素可以通过堆叠跟踪并且链接到处理步骤。
图3是根据示例的示出了参考指纹库和有效指纹库的方法的流程图。
参考图3,有效指纹库216是指纹集合,指纹集合表示跨一个或多个产品单元(诸如,晶片)的一个或多个工艺参数的变化。接收在一个或多个产品单元上测量的一个或多个参数的新测量数据204。首先基于指纹集合的预期演变来更新有效指纹库216,该指纹集合可以基于一个或多个工艺参数的预期演变。本文的演变意指逐渐的发展。更新的指纹集合的进一步更新是基于根据第一更新的指纹集合对所接收的测量数据204的分解206。如果分解的指纹与第一更新的指纹相似208,则可以通过调整210指纹来更新有效指纹库216。如果指纹不相似208,则可以通过向有效库添加212新指纹来更新有效指纹库216。也可以从有效库中删除指纹。
通过从参考指纹库214中的参考指纹集合检索指纹,可以将指纹添加到有效库216。这可以涉及将检索到的指纹变形以确定添加的指纹。参考指纹库214中的参考指纹集合是从表示在晶片上测量的一个或多个参数的历史测量数据202导出的。
参考指纹库214可以利用来自有效库216的更新的指纹自己更新。
示出了参考指纹库214中的指纹的示例218。指纹是写入错误224、掩模版加热226、SUSD 230(扫描向上/扫描向下效果,其是相对于在“向下”扫描方向上曝光的场,在“向上”扫描方向上曝光的场的偏移的测量)、蚀刻232、扫描器卡盘238、CMP(化学机械抛光)240、“波纹”246和退火“双旋流”248的示例。
示出了有效库216的初始指纹220。指纹234、242和250分别是参考指纹232、240和248的副本。还示出了稍后在有效库216中的指纹222。指纹228是在步骤212处添加的新指纹的示例。指纹252是来自步骤210的自适应指纹的示例。指纹236和244仍然存在,尽管它们存储的发生似然性(未示出)可以从一个实例220到另一个实例222不同。
图4是根据示例的方法的流程图。它示出了维护有效指纹库316中的指纹集合的方法。指纹表示跨产品单元的一个或多个工艺参数的变化。在该示例中,产品单元是晶片衬底。该方法包括以下步骤:(a)接收在晶片上测量的一个或多个参数的测量数据324;(b)基于该指纹集合的预期演变322,确定320在有效指纹库316中的第一更新的指纹集合;以及(c)基于根据第一更新的指纹集合对所接收的测量数据的分解,确定320第二更新的指纹集合。每个指纹可以具有存储的发生似然性,并且分解可以包括:基于所接收的测量数据,估算指纹集合在接收的测量数据中的发生似然性;并且基于估算的似然性更新存储的发生似然性。
分解可以包括使用因子模型,其中估算的发生似然性包括因子模型的系数。
情境数据324表示晶片的一个或多个工艺参数的记录,测量数据是在晶片上测得的。然后,有效指纹库316的更新320可以基于情境数据324。
更新有效指纹库的步骤320可以包括计算328预期的测量数据。该计算基于预测的322指纹,预测的322指纹本身可以基于指纹集合的预期演变。指纹集合的预期演变可以基于预测指纹集合的演变的模型,指纹集合的演变基于与观察到的一个或多个工艺参数的演变相关联的知识。计算也基于所接收的情境数据。然后基于计算的预期的测量数据确定工艺控制动作326。然后可以基于所确定的工艺控制动作326来更新有效指纹库316中的指纹。
更新320有效库316中的指纹集合可以包括调整指纹,向集合添加新指纹以及从集合移除指纹。
通过从参考指纹库310中的参考指纹集合检索(提取)312指纹,可以将指纹添加到有效指纹库316。这可以涉及将312检索的指纹变形以确定添加的指纹。
在参考指纹库310中的参考指纹集合是从表示在晶片上测量的一个或多个参数的历史测量数据304导出308的。
可以利用来自有效指纹库316的更新的指纹来更新314参考指纹库310。用户输入318可以被用于验证314该更新。
以下更详细地描述这些步骤。
初始设置302-308:在初始设置期间,可以使用三个过程标识参考指纹:
知识引出306:向域专家咨询302以标识他们识别的指纹工具/工艺指纹。然后将指纹的形状和变化以参数或非参数形式编码并放入参考指纹库310中。
数据挖掘308:借助于不同的探索性数据分析技术(例如,簇分析、成分分析)来分析308历史测量数据304,以标识指纹。然后将指纹形状和变化以参数或非参数形式编码并放入参考指纹库中。
动态标识314:下面描述在晶片测量分解期间由自适应过程识别的因素。
参考库310-312:由通用和异构指纹的知识库以及在期望的布局上实例化指纹的一些功能组成。
参考指纹310:存储通用指纹对象(从历史观察或物理定义中已知,被称为参考指纹)的容器。指纹可以以不同的参数和非参数方式编码。每个指纹的信息可以包含其平均形状、形状变化以及关于其历史、根本原因等的元数据信息。
提取和变形/翘曲312:在期望的布局上实例化通用参考指纹的过程。这可以生成表示指纹形状及其变化的概率分布形式。这可以通过统计函数回归技术(诸如,高斯过程、线性回归等)和/或通过采样技术(蒙特卡罗采样)和/或通过参数函数评估来完成。变形意味着:不仅可以应用布局自适应,还可以应用形状自适应来实例化通用参考指纹。例如,基本形状的仿射变换(平移、旋转、缩放形状)可以被认为是相同形状的“可允许变体”。(例如,当实例化时,通过执行变换)可以明确地实现变形,(例如,当将新数据投影到指纹基础时,通过使用旋转不变的相似性指标或内核)或者隐式地实现变形。
有效库314-322:包括维护和跟踪指纹贡献晶片测量的状态变化所需的存储和功能:
有效指纹316:指纹的当前状态作为表示指纹的形状及其变化的某种形式的概率分布被存储到容器。此外,关于每个指纹的动力学和统计学的信息可以与(诸如)发生似然性一起存储。最初,从参考指纹库提取有效指纹。
预测322:基于指纹动态(演变)模型、处理定时信息和用于即将到来的晶片测量的控制动作,来预测有效指纹的状态。这里可以使用组合概率推理技术(贝叶斯递归滤波的变体,例如卡尔曼滤波、粒子滤波)。
更新320:使用预测指纹和晶片测量结果以:
A)根据有效指纹分解输入的晶片测量。可以基于所需的正则化和约束(例如,稀疏编码、字典学习、套索/岭回归等)采取不同的成分分析方法。另外,可以在分解中使用情境敏感的晶片聚类来利用分解系数中的聚类结构。
数据被分解的基础可以是正交的或过完备的。因此,我们在参考库中启用异构性,并且仍然具有可以分解输入数据的有效库。通常,可以以表格形式组织若干晶片、批次、场等的测量或模型参数。列表示不同的特征(例如,标记、参数),而行表示不同的实例(例如,场、晶片、批次)。这种矩形/正方形表示可以被看作代数矩阵(实数或复数)并且接受几种分解。那些分解可以被认为是呈现矩阵的基本元素的不同方式。例如,主成分分解示出具有最大方差的数据内的指纹,这是从统计观点获得指纹的极好方式,其中方差是关键因素。其它适合的分解可能揭示更多额外的实体信息。出于这些示例的目的,建议利用从数值线性代数所提供的其它额外的矩阵分解的幂。以下列表是不完整的,但得到分解的替代性算法的想法:
i)通用:
稀疏辞典
秩因子分解(A=CF)
进行插值分解
约当(Jordan)
舒尔(Schur)
QZ
高木(Takagi)
极性
ii)正交:
QR分解
LQ
奇异值分解(SVD)
iii)光谱:
特征值分解
iv)非负:
因子分解成具有非负值的矩阵
B)计算校正以用于更新活动指纹。取决于有效指纹的表示,这里可以采用不同的方法,诸如更新足够的统计学、MAP(最大后验概率)估算、ML(最大似然性)估算。
C)当有效指纹集合不足以解释晶片测量时,标识新的指纹。这里可以使用变化/新颖/异常检测方法和/或非参数潜在成分模型(例如,狄利克雷过程和印度自助过程)组合。
验证314:统计地评估动态标识的指纹以检查它们是系统的还是由于噪声而引起的。当确认指纹时,用户可以决定318将其放入参考库。顺序对数似然性比测试是该块的可能实现。
估算328:通过预测模型使用预测的有效指纹以及情境的信息324计算(在晶片测量方面)预期的测量。统计推断和/或预测模型的组合可以被用于该目的。
控制动作326:基于计算的预期测量发现最佳工艺控制动作。
所述方法的过程流程包含三个相互交织的回路:标识、更新和控制。标识回路(在310、312、316、314内示出)由参考库中动态地标识的指纹的插入的速率异步地控制。在另一方面,(在316、322、320内)更新和(在322、328、326内)控制回路循环与接收(每个晶片的)晶片测量的速率同步。
图5图示有效指纹库的动态模型。
Figure BDA0002820709440000371
是在观测第t个晶片测量结果zt 412时的有效指纹的状态。ut是控制动作402且ct是用于内容敏感簇408中的内容信息。at是分解系数410。
基于图5中的模型,可以将图4中的区块的功能定义如下:
提取和翘曲312:产生初始有效指纹
Figure BDA0002820709440000372
Figure BDA0002820709440000373
404。
预测322:从
Figure BDA0002820709440000374
和ut估计动态AFP 406
Figure BDA0002820709440000375
估计量328:从ct
Figure BDA0002820709440000376
Figure BDA0002820709440000377
估计zt
更新320:
Figure BDA0002820709440000378
ut和zt估计
Figure BDA0002820709440000379
在必要时添加
Figure BDA00028207094400003710
这些示例的优点包括:
A)晶片测量中的贡献因素(指纹)的在线估算,当来自新产品或节点的初始层在加速阶段暴露时,启用早期产量影响评估。启用更快的根本原因分析和工艺优化,并且可能加快产品上市速度和上市时间。
B)自适应动态模型(对半导体制造设施中的工艺或工具用途变化具有鲁棒性)。
C)启用基于“软分配”的晶片级控制(避开提及硬分组或分类机制的可能的现有技术)。
D)促进根本原因和产品上性能影响分析。
E)在线工艺监测以及指纹和情境对晶片的贡献的简单可视化。
图6示出了图4的动态模型的简化示例。
参考图6,两个指纹506和508位于参考指纹库中(分别地被称为“缩放”和“双旋流”指纹)。它们也被示出为参考指纹R1和R2。示出了它们在两个时间实例502和504处在有效库中的演变。图案510和512在时间502处被示出,并且对应的有效指纹也表示为A1和A2。在时间504处示出了图案514和516,并且对应的有效指纹再次被表示为A1和A2。
测量是调平LV、对准AL和重叠OV。注意,一些测量并不总是可用的(例如,OV),但是当它们被提供时,它们可以被利用。库中的指纹表示晶片测量中看到的图案的常见原因,并且它们在不同类型的测量中表现出来。在测量AL、LV和OV中的指纹A1和A2的贡献取决于处理CO和量测的情境。在时间502处,示出了对准测量AL的测量结果518和调平测量LV的测量结果520。在时间504处,示出了对准测量AL的测量结果522、调平测量LV的测量结果524以及重叠测量OV的测量结果526。
水平箭头示出了指纹A1和A2的演变。本文中的演变可以是时间性的(例如,当在不同的按时间顺序排列的晶片的单层上进行测量时)或通过堆叠的(例如,当在一个晶片的多个按时间顺序排列的层上进行测量时)。在图6的示例中,如通过比较512和516所看到的,显然双旋流指纹508在两个时间实例502和504之间稍微旋转。
可以使用包含一个或多个机器可读指令序列的计算机程序来实现任何示例,机器可读指令序列描述了维护指印集合的方法。该计算机程序可以在计算机设备内执行,诸如图1的控制单元LACU或一些其它控制器。还可以提供其中存储有这种计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。
该控制单元LACU可以包括如图7所示的计算机组件。计算机组件可以是组件的示例中的控制单元形式的专用计算机,或者备选地是控制光刻设备的中央计算机。计算机组件可以被布置用于加载包括计算机可执行代码的计算机程序产品。当下载计算机程序产品时,这可以使计算机组件能够利用调平和对准传感器AS、LS的示例来控制光刻设备的上述使用。
连接到处理器827的存储器829可以包括多个存储器部件,如硬盘861、只读存储器(ROM)862、电可擦除可编程只读存储器(EPPROM)863和随机存取存储器(RAM)864。并非所有上述存储器部件都需要存在。此外,上述存储器部件在物理上非常靠近处理器827或彼此靠近并不是必需的。它们可能位于一定距离以外。
处理器827还可以被连接到某种用户接口,例如键盘865或鼠标866。也可以使用触摸屏、跟踪球、语音转换器或本领域技术人员已知的其它接口。
处理器827可以被连接到读取单元867,读取单元867可以被布置为读取数据,例如以计算机可执行代码的形式从数据载体(如固态驱动器868或CDROM 869)读取数据并且在某些情况下将数据存储在数据载体上。也可以使用本领域技术人员已知的DVD或其它数据载体。
处理器827还可以被连接到打印机870以在纸上以及本领域技术人员已知的任何其它类型的显示器871(例如,监视器或LCD(液晶显示器))打印输出数据。
处理器827可以通过负责输入/输出(I/O)的发射器/接收器873被连接到通信网络872(例如,公共交换电话网络(PSTN)、局域网(LAN)、广域网(WAN)等)。处理器827可以被布置为经由通信网络872与其它通信系统通信。在示例中,外部计算机(未示出),例如操作员的个人计算机可以经由通信网络872而登录到处理器827中。
处理器827可以被实现为独立系统或并行操作的多个处理单元,其中每个处理单元被布置为执行较大程序的子任务。处理单元还可以被划分为具有多个子处理单元的一个或多个主处理单元。处理器827的一些处理单元甚至可以位于远离其它处理单元的一定距离并且经由通信网络872进行通信。模块之间的连接可以是有线的或无线的。
计算机系统可以是具有模拟和/或数字和/或软件技术的任何信号处理系统,处理系统被布置为执行本文讨论的功能。
确定针对被配置成对至少一个衬底进行处理和/或测量的装置的控制方案和/或确定多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献的方法
以下描述描述本发明的实施例。实施例包括用于确定针对被配置成对至少一个衬底进行处理和/或测量的装置的控制方案和/或确定对装置的处理参数的贡献的方法、计算机程序和/或系统。用以对至少一个衬底进行处理和/或测量的装置可以是用以处理和/或测量至少一个衬底的至少一个装置。如下文所描述的,上文所描述的示例性方法可以与本发明的实施例一起使用,或用作本发明的实施例的部分。换句话说,实施例可以合并有上文所描述的示例的特征中的至少一些特征。
多种不同的过程通常用以制造衬底。装置可以用以对所述衬底执行特定过程。通常存在用以处理单个衬底的一定数目的不同装置。衬底可以由特定线程来处理,所述特定线程是用来以不同方式对衬底进行处理的一定数目的装置。多个装置中的每个装置可能影响如上文所描述的所述衬底的参数。所述装置可以另外被称作工具或设备或装备。不同类型的装置可以包括但不限于图案形成装置MA、蚀刻装置、沉积工具、衬底台、诸如化学机械平坦化装置之类的抛光装置、诸如快速热退火装置之类的退火装置、清洁装置、诸如用以涂覆抗蚀剂的那些涂覆装置之类的涂覆装置、显影装置、涂覆显影系统装置或轨道装置、植入装置和/或焙烤装置。
当制造衬底时,会引入误差。在一些情况下,如果所述误差大于可接受量值,则经处理的衬底将不可用。可以用多种不同的方式引入误差。衬底可以由一定数目的装置加以处理,所述一定数目的装置中的每个装置影响到参数并且在所述衬底上引起误差。可以测量所述衬底上的过程参数以确定针对特定参数的误差。可以基于所测量的参数来控制用以对衬底进行处理的装置,以减少该装置的影响。这可以有益于总体上减少经处理的衬底上的误差。这可以改善经处理的衬底的品质和/或改善衬底的处理批次的效率(如果存在不可用的衬底的数目的减小)。因而,在对所述处理装置的控制中的任何改善可以减少参数误差并且改善衬底生产的效率。
基于所测量的参数的控制回路可以用以制造衬底。所测量的参数可以包括但不限于重叠、临界尺寸、和/或聚焦相关参数。两个单独的回路可以用于制造衬底。第一回路可以是用于测量在一批次内的一定数目的衬底(即,由同一装置以相同方式处理的一定数目个衬底)的自动化过程控制(APC)回路。测量结果接着可以用以控制对下一批次衬底的处理。以这种方式,可以改善对一批次衬底的处理的控制。第二回路可以用以测量来自装置的漂移贡献。所述第二回路可以被称作基线器(baseliner)控制回路。
漂移是装置对衬底的影响的随时间的变化。可以例如通过使用参考衬底来测量来自装置的漂移贡献。基线器控制回路可以用以监控特定装置在某一时间段(例如在若干天内)的漂移。如果准确地监控所述漂移,则所述装置可以被恢复至特定状态以考虑所述漂移。
准确地监控漂移包括对至少由相关装置处理的所述衬底进行测量。测量可以用以监控所述装置对所述衬底的持续影响(和衬底上的任何误差)。虽然对相关参数进行测量并且使用控制回路以制造衬底可以考虑一些工具漂移,但仍存在改善的空间。当前采用的控制过程并不如其能力般高效。虽然准确且信息性的测量适用于控制用以对衬底进行处理的装置,但进行测量也可以是破坏性的且耗时的。常常需要进行过多测量以便补偿知识缺乏。这限制正被处理的衬底的吞吐量,并且潜在地引入不必要的噪声成分。
通过改善执行所述控制回路的方式来改善这些装置的控制将会是有利的。这可以通过改善所述系统对所述参数进行测量的方式来完成。如下文将描述的,本发明的实施例可以用以在空间和时间上改善和/或优化衬底的采样,以改善和/或优化用以对衬底进行处理的至少一个装置的控制和/或以确定多个装置中的哪个装置已对衬底的处理参数的指纹做出贡献。本发明提供了确定采样控制方案、确定处理控制方案和/或确定已对所述处理参数做出贡献的至少一个装置的方法(以及相对应的计算机程序、计算机产品和系统)。
参数(例如重叠)的时间演化可以用以评价过程控制参数。例如,重叠的时间演化可以用于确定对在先进过程控制(APC)中所使用的移动加权平均值(MWA)算法进行控制的参数。可以通过发现最佳模型(例如,具有最小残差,通常被称作“归一化模型不确定性”=nMU)和用于该模型的适合的布局(最小nMU)来确定模型参数。例如,可以从一层的重叠指纹来选择模型。接着,选择相对于该模型具有最小nMU的某一布局。鉴于所述模型和所述布局,可以计算出重叠数据的“模型参数”。然而,不清楚测量需要多复杂以捕获相关指纹。换句话说,不清楚所选择的采样控制方案是否将捕获相关指纹,其中相关指纹是可以支配某一过程条件或过程条件的波动(例如由于用于对所述衬底进行处理的装置中发生的漂移)的指纹。对于使用者,这意味着:关于如何跨越所述衬底来分布所述测量且以能够测量最主要贡献的最佳方式来使用有限测量时间预算,存在不确定性。基本上,在正确的空间分辨率和时间频率的情况下测量重叠是非常重要的。因而,鉴于关于指纹和/或指纹随时间推移的改变的想法,有利的是确定采样控制策略的有所改善的时间频率例如以确定是否将会在若每个衬底、或每个衬底批次、或每种情境下执行的情况下优化测量。
所描述的方法可以改善对参数进行测量的方式,换句话说,提供改善的采样控制方案以确定将如何进行测量。继而,这可以改善处理衬底的总体方法。所描述的方法可以改善控制对衬底的处理的方式,换句话说,提供改善的处理控制方案以确定将如何控制用以对衬底进行处理的装置。继而,这可以改善处理衬底的总体方法。所描述的方法可以用以确定多个装置中的哪个装置已对处理参数的指纹做出贡献,例如以确定对一层衬底中的重叠误差做出贡献的特定装置。继而,这可以改善处理衬底的总体方法。
在第一实施例中,本发明提供一种确定针对将要由装置处理的衬底的采样方案的方法。所提及的采样方案指代控制方案的示例,并且可以另外被称作采样控制方案。术语采样控制方案与采样方案可以可互换地使用。在作为图示如何确定采样方案的流程图的图8中示出这种方法。所述方法包括获得指纹模型和演化模型的步骤(901)、分析所述指纹模型和所述演化模型的步骤(902)、和使用所述分析来产生用于所述装置的采样方案的步骤(903)。如下文所描述的,所述方法可以使用一个或更多个指纹模型和一个或更多个演化模型。所述采样方案提供将要在何处和何时对由所述装置处理的衬底进行测量的指示。
所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据。所述指纹模型示出与跨越所述衬底的区域的特定处理参数相关的信息。所述演化模型表示指纹数据或指纹模型的随时间的变化。因而,所述演化模型表示过程参数的随时间推移的改变。例如,可以基于在处理期间在不同时间在特定衬底的特定层上进行的测量来确定所述演化模型。这可以示出所述衬底的处理如何随时间推移而影响所述指纹。替代地,如下文所描述的,可以基于在多个衬底的相对应的层上(例如,在若干衬底的第一层上)进行的测量来确定所述演化模型。这可以示出过程的漂移可以如何随时间推移(优选地在一个批次内)而发生。替代地,如下文所描述的,可以基于在至少一个衬底的多个层上所进行的测量而确定所述演化模型。这可以示出所述过程在层与层之间对指纹的不同影响。这些变化中的任一变化中的所述演化模型可以基于与特定参数和/或过程相关的所测量的数据或所储存的数据,等等。
所述采样方案有利地提供针对在何时和在何处进行测量的指示,即,提供应在何处进行测量的空间指示和应在何时进行测量的时间指示。换句话说,所述采样方案指示将要在何时和在何处取得衬底的样品。所述测量/样品涉及在所述衬底上的提供对于所述衬底上的任何误差的指示的处理参数。
所述处理参数可以是在衬底的处理期间受影响或受控制的任何参数。例如,所述处理参数可以选自包括临界尺寸、重叠、临界尺寸均一性、侧壁角、线边缘放置、对准、聚焦(可以另外被称作调平)、图案移位、线边缘粗糙度、微形貌、和/或边缘放置误差(EPE)的组。因而,仅例如,如果所述处理参数涉及对准,则所述指纹模型可以是由至少一个调整测量工具所进行的测量的特性,和/或如果所述处理参数涉及调平,则所述指纹模型可以是从至少一个水平测量工具所获取的测量的特性。另外或替代地,所述处理参数可以选自包括特征的形状描述,诸如侧壁角、抗蚀剂高度和/或接触孔椭圆率之类的组。另外或替代地,所述处理参数可以选自包括涂层厚度、可选地底部抗反射涂层厚度和/或抗蚀剂厚度的组。另外或替代地,所述处理参数可以选自包括涂层的光学性质的组,光学性质可以可选地指示吸收率的量度,诸如折射率和或消光系数。另外或替代地,所述处理参数可以选自包括从衬底测量结果所确定的诸如产率参数、可选地缺陷和/或电气性能之类的参数的组。可以将方法应用至这些参数中的任一参数,并且可以对多个参数使用所述方法,这取决于哪些参数最受特定使用者关注或对特定使用者最重要。
传感器可以用以测量所述衬底上的参数。所述采样方案可以用以优化进行对参数的测量的频率和空间分布。所述采样方案可以用以确定何时应在多个衬底上进行的测量。所述采样方案可以用以确定将要在何处和何时测量已由所述装置处理的衬底。另外或替代地,所述采样方案可以用以确定将要在何处和何时测量还未由所述装置处理的衬底。
所述方法有助于确定如何根据指纹信息和演化而在时间和空间上分配采样。当前实施例的方法是有益的,原因在于产生了改善的采样方案。可以用多种不同的方式使用这种采样方案。所述采样方案可以用以减小进行的不必要测量的量。另外,所述采样方案可以用以进行更有益于控制对衬底的所述处理的测量。
已知过程不如它们能达到的那样高效,这是因为并没有如同在当前实施例中那样根据指纹数据来在时间和空间上执行采样。通过使用指纹模型以及演化模型,其意味着指纹的随时间推移的预期变化可以用以确定所述采样方案。如在下文更详细地描述的,指纹的演化可以与对所关注的指纹模型已知的行为贡献因素(例如,来自特定装置)相关联,这意味着使用所述装置的处理可能较为准确且高效地受控。
由于多种不同原因而随时间推移发生指纹数据的变化。所述演化模型是所述指纹数据如何变化的指示。例如,这意味着所述演化模型可以在装置随时间推移而漂移时追踪所述装置对衬底的影响的变化。因而,基于所述指纹模型与所述演化模型的组合而产生所述采样方案会允许所产生的采样方案在确定应在何时和何处测量衬底时考虑有用的信息。
使用所述演化模型是尤其有利的,这是因为如果所述演化模型是相对稳定的,则可以更低频率地进行所述测量。这减小进行的不必要测量的量。如果所述演化模型是相对不稳定的,则可能较频繁地进行所述测量。这增加了考虑参数的由于特定装置的改变的可能性。总体而言,这意味着可以用高效方式控制或改善所述装置的准确性。
可以用各种不同的方式来优化所述采样方案。例如,可以针对对于特定装置的指纹来优化所述采样方案。可以基于情境信息/数据来优化所述采样方案。例如,第一设备可以已知具有沿第一轴线而双漩涡图案定向的指纹模型,并且第二设备可以已知具有相对于所述第一设备的所述指纹模型而旋转的双漩涡图案的指纹模型。在此示例中,针对所述第二设备的采样方案可以理想地是针对所述第一设备的所述采样方案的旋转版本。因而,可以指示哪个装置/设备用以对衬底进行处理的情境信息可以用以选择采样方案。可以基于每衬底的测量的预定数目来优化所述采样方案。可以根据使用者偏好来设定预定数目。可以通过仅允许在测量之间的所述演化模型的特定量的改变来优化所述采样方案。优选的优化模式可以用以产生适于使用者的采样方案。
由装置所处理的衬底的测量可以在空间上(即跨越所述衬底)分布。由装置处理的衬底的测量可以在时间上分布,即在诸如一天或一周之类的特定时间段内分布。在本实施例中所产生的所述采样方案确定应在何时和何处进行测量。所产生的采样方案因此可以包括一组采样部位,每组采样部位被配置成以某一频率来测量。这意味着在指定的时间段内进行的测量(例如,衬底的某一部位中的测量)的模式可以在预定时间流逝之后重复。
基于当前实施例,在生产中,可以处理所述衬底,并且可以根据用以处理所述衬底的该装置(或多个装置)的预期特性来对所述衬底的采样进行排程。例如,可以基于第一样本方案来对已由蚀刻腔室处理的衬底A进行采样。所述第一样本方案可以主要包括每月的集中于所述衬底的边缘上的采样点。所述采样点可以在所述衬底的所述边缘上,这是因为这种部位已知由于所述衬底的蚀刻而具有最多变化。一周的时间段可以是对于基于针对蚀刻腔室的相关参数的所述演化模型来追踪所述蚀刻腔室的影响的变化而言足够频繁的。
相同衬底A可以经受由沉积工具所执行的沉积步骤。作为示例,来自所述沉积工具的对于指纹的贡献可以用3个月的时间常数进行蝶形整形。可以针对已由所述沉积工具处理的衬底确定第二采样方案。在这种情况下,所述第二采样方案可以确定可以执行所述衬底的每月测量,并且可以被配置成获得经优化以确定与预期被蝶形整形的指纹相关联的相关特性的测量。
所述采样方案可以另外被称作计划或排程。所述采样方案可以被产生并且被储存在计算机的存储器中。可以使用如下文所描述的计算机系统以执行测量来自动地实施所述采样方案。所述采样方案可以相当于指定了应进行某些测量的部位和时间的数据。可以用多种不同格式提供所述采样方案。所述采样方案可以提供为列表。所述采样方案可以被提供为呈电子表格的形式。优选地,以时间次序提供所述采样方案。换句话说,所述采样方案被布置成指示应按待执行测量的次序在何时进行哪些测量,从待进行的下一次测量至待进行的最后一次测量。
可以用多种不同的方式产生所述采样方案。在所有示例中,所述采样方案可以基于对指纹模型和演化模型的分析。可以取决于所述指纹模型如何随时间推移而改变(其由所述演化模型指示)和/或使用者偏好来使用不同的分析。US2008109089(其以全文引用的方式而被合并入本文中)大体上描述量测并且描述用于采样的空间密度和测量频率。US2008109089描述基于特性测量数据的控制。特别地,第[0012]段可以提供关于量测方法的有用启示。
所述采样方案可以基于对所述演化模型的时间梯度分析。换句话说,所述分析可以确定变化率(即,跨区域或时间而绘制的梯度改变的斜率)。如果变化率(即,时间梯度)是低的,则能够较不频繁地和/或密集地取得样品;而如果变化率是高的,则可以较频繁和/或密集地取得样品。大体上,当所述装置对于所述衬底的影响的不稳定性随时间推移为较高时,则所述演化模型的时间梯度将为较高的。因而,可以分析所述演化模型以确定所述演化模型的时间改变的梯度,即所述演化模型的随时间推移的改变。作为另一示例,如果指纹模型的改变的空间梯度在衬底上随半径而线性地增大,则所述采样方案可以指示测量点的空间密度可以跨越所述衬底保持恒定。
所述时间梯度是参数的随时间的变化率的指示。因此,较高的梯度指示了在给定时间段内的高改变速率。如果存在高改变速率,则较频繁地执行采样可能是有益的。所述指纹模型的时间梯度也可以按高排它性的方式跨越所述衬底的指示在所述衬底内存在不稳定性的某些区域。换句话说,可以跨越所述衬底的示出高的时间梯度的小区域而存在指纹数据的相对大的改变。替代地,可以分析所述指纹模型以确定所述指纹模型的空间改变的梯度。跨越某一区域/空间在具有高的空间变化的区域中密集地进行采样可能是有益的。WO2017194289(其以全文引用的方式而被合并入本文中)披露了基于空间梯度来确定采样方案。特别地,第[0072]段和第[0115]-[0117]段可以提供用于基于所述空间梯度来产生采样方案的有用启示。
可以基于所述指纹模型和/或演化模型的预期改变来产生所述采样方案。例如,可以执行对所述演化模型的分析,并且可以在空间和/或时间上外推所述演化模型。这是有利的,这是因为不必持续地更新所述演化模型。然而,它可能不会如使用反馈以提供较为新近的指纹模型和/或演化模型般准确。
大体上,所述采样方案可以基于所述指纹的时间演化,时间演化可以由所述演化模型指示。例如,测量点可以根据预期改变来分布(例如,蚀刻演化模型=exp(-t*r/a),因此在边缘处较多地采样,这是因为随时间推移的改变在该处较显著)。另外,测量点可以基于空间模型,很可能与时间演化相组合,时间演化可以由所述指纹模型和所述演化模型指示。
可以分析所述指纹模型和所述演化模型以确定所进行的测量与所述指纹模型和/或演化模型之间的差。所述采样方案可以被设定成允许从所述指纹模型偏离预定量。换句话说,可以基于测量值与指纹模型值之间的差的阈值来产生所述采样方案。当到达、或预期到达阈值时,所述采样方案可以指示应进行的进一步测量和/或进一步动作以减小差。在WO2017194289(其以全文引用的方式并入本文中)中描述了基于从指纹模型的偏离测量数据来产生采样方案。特别地,第[0071]段可以提供用于基于阈值而产生采样方案的有用启示。
可以分析所述演化模型以确定衬底之间的变化。可以接着基于衬底间的所确定的变化,即,基于衬底之间变化,来产生所述采样方案。以这种方式,可以移除示出过高变化性的点。这移除了测量中的异常值以改善用以对至少一个装置进行控制的测量的品质。这在WO2017194289(其以全文引用的方式而被合并入本文中)中加以描述。特别地,第[100]段和第[109]段可以提供用于基于衬底之间的变化来产生采样方案的有用启示。
可以基于分布式采样技术来产生所述采样方案。例如,所述采样方案可以是相对地空间上稀疏的。然而,采样的部位可以随时间推移而变化,以使得当考虑在给定区域上随时间推移进行的测量时,所述采样对于给定时间段是空间上密集的。这可以另外被视为随时间推移而堆叠来较密集地对区域进行采样的空间模型。这种类型的采样方案是有益的,原因在于其减小测量的总数目,并且允许仅以采样方案的空间变化或移位而频繁地进行测量。在US2014354969(其以全文引用的方式而被合并入本文中)中描述了使用这样的分布式采样来产生采样方案。特别地,第[343]段和图16可以提供用于基于分布式采样来产生采样方案的有用启示。
所述采样方案可以基于数据的不充分覆盖和/或所述指纹将如何变化的不确定性。例如,对指纹数据和/或演化数据的分析可以识别出衬底中存在这样的区域:其中测量数目低于每区域的预定阈值,或低于针对给定区域随时间推移的预定阈值。例如,抛物线型指纹模型可以在仅指示出仅在衬底的中心需要测量数据的中心中发生变化,然而,这可以意味着不存在所述边缘处发生什么情况的指示。所述采样方案可以基于在可以对于所述指纹模型和/或演化模型最具信息性的点处执行测量。例如,如果指纹模型跨越衬底是径向二次的,则所述采样方案可以确定样品点的最佳数目使得实现可接受的建模准确性。可以产生这种采样方案以仅测量将改善所述指纹模型和/或演化模型的点,即,通过在不存在足够信息的区域中获得数据。例如,这可以意味着在具有非常低密度采样的区域中(即,没有太多信息是已知的区域中)的采样。
在US2008109089(其以全文引用的方式并入本文中)中描述了基于数据的不充分覆盖来产生采样方案。特别地,第[0035]段可以提供用于以这种方式产生采样方案的有用启示。可以如US20160334717(以全文引用的方式并入本文中)中所描述的那样优化所述采样方案。特别地,第[0088]段可以提供用于基于不确定性方面来产生采样方案的有用启示,并且第[0009]段和第[0049]段至第[0053]段可以提供用于基于信息性方面来产生采样方案的有用启示。
可以分析跨越衬底进行的测量。所述分析可以确定指纹跨越所述衬底和随时间推移的改变。所述分析可以识别所述指纹模型比在其它区域中更快速地改变的改变区。可以确定所述采样方案,以便与具有较低变化率的衬底的区相比,对具有较高变化率的衬底的区较频繁地采样。例如,如果在一半衬底中发生大多数改变,则可以确定采样方案,以与另一半衬底相比在所述一半衬底中较频繁地执行采样。
以这种方式,所述衬底可以划分成各种不同的区域,并且可以针对这些区域中的每个区域而不是衬底的完整区域、或以及衬底的完整区域,来产生采样方案。例如,所述衬底可以划分成至少两个区域。所述衬底可以划分成两个区域,其中第一区域围绕所述衬底的所述边缘并且所述第二区域在所述衬底的中心(例如,基于蚀刻贡献)。所述衬底可以划分成X轴居中区域和Y轴居中区域,其可以例如形成鞍形状(例如,基于来自沉积步骤的贡献)。所述衬底可以基于双漩涡图案来划分成多个区域(例如,基于来自化学机械抛光(CMP)处理的贡献)。理想地,所述多个区域彼此不叠置。
被视为较不频繁和/或较不密集的采样点的量/数目将取决于由使用者所需要或要求的测量的期望的目标/量。理想地,所述采样方案保持所需的测量的数目尽可能低,而同时向使用者提供关于所述衬底的足够信息,例如以允许准确地控制用以处理所述衬底的装置。
为了仅提供确定所述采样方案的示例,所述采样方案可以确定针对特定装置跨越衬底的区域而将要进行2000次测量,所述采样方案可以确定应每3天每装置测量2个衬底。替代地,可以在衬底上仅对200个测量点进行采样,并且在这种情况下,可以每批次测量每装置2个衬底。因而,所述采样方案可以提供平衡以指示每个样品上(即空间上)所需的测量、以及在需要例如每批次、每天或每周等等获取那些样品时所需的测量。
所述指纹数据可以涉及单个处理参数,即,指纹数据可以仅涉及一个处理参数。替代地,所述指纹数据可以涉及多个处理参数,即,各种不同的处理参数。针对由所述装置处理的衬底的处理参数的所述指纹数据是该装置的贡献和该装置对所述衬底的影响的指示。
所述指纹模型可以包括至少两个指纹子模型。所述指纹子模型可以涉及同一参数。每个指纹子模型可以与跨越所述衬底的变化的不同的空间尺度和/或不同时间尺度相关联。所述采样方案可以基于指纹子模型。这可以有益于基于较具体的数据来提供采样方案,这将会允许该指纹子模型中的时间和/或空间变化较为有效地由采样方案考虑。类似地,所述演化模型可以包括至少两个演化子模型。所述演化子模型可以涉及同一参数。每个演化子模型可以与相对应的指纹子模型相关联。所述演化子模型可以针对在该子模型中的参数变化来提供关于何时将要在由所述装置处理的衬底上进行测量的指示。
可以针对单个参数使用多个指纹子模型。可以针对单个参数使用多个演化子模型。每个指纹子模型可以具有不同的空间成分,即,与不同的空间尺度(例如,场内和场间)、所述衬底的不同部分和所述衬底的区域的不同百分比相关。每个演化子模型可以具有不同的时间成分,即,具有不同的周期、相位或频率。
在示例中,第一指纹子模型可以指代跨越所述衬底的小区域的所述参数的变化,而第二指纹子模型可以指代跨越完整衬底的所述参数的变化。在示例中,第一指纹子模型可以涉及场内分布,并且第一演化子模型可以具有大致3个月的时间周期。在此示例中,可以基于所述第一指纹子模型和所述第一演化子模型来产生所述采样方案,其可以指定每3个月在所述衬底的局部区域上的非常密集测量。第二指纹子模型可以涉及完整衬底区域,并且可以表示抛物线型模型,但具有以大致1天为周期的演化子模型。可以基于所述第二指纹子模型和所述第二演化子模型来产生第二采样方案,其中遍及所述衬底的区域上以较高频率(例如每天)进行较少的测量。
上文所提及的所述指纹模型和所述演化模型实际上可以指代多个模型。每装置可以存在多个指纹模型。例如,可以存在具有高空间频率成分的指纹模型和具有较低空间频率成分的指纹模型。不同的指纹模型可以彼此分离地而被采样和排程以考虑所述指纹模型之间的差,例如,高空间频率成分很可能比低空间频率成分在时间上更慢地波动。可以针对涉及多个处理参数的装置获得多个指纹模型和/或多个演化模型。换句话说,第一指纹模型和演化模型(或第一组子模型)可以涉及第一参数,诸如来自(例如,仅)对准测量工具的测量的特性,并且第二指纹模型和第二演化模型(或第二组子模型)可以涉及第二参数,诸如来自(例如,仅)水平测量工具的测量的特性。可以基于与参数中的每个参数相对应的指纹和演化模型,针对多个处理参数中的不同的处理参数来确定单独的采样方案。换句话说,可以存在用于第一处理参数的指纹模型和相对应的演化模型。替代地,可以基于多个指纹模型和/或多个演化模型来产生所述采样方案。以这种方式,所述采样方案可以在提供将要在何时和在何处进行采样的指示时考虑多个处理参数。
可以针对所述第一处理参数来确定单独的采样方案。也可以存在针对第二处理参数的指纹模型和演化模型。可以针对所述第二处理参数来确定单独的采样方案。因而,所述采样方案的产生可以取决于正在提及的特定处理参数。这是有利的,这是因为所述处理参数可以按不同的方式变化。因而,例如,所述处理参数随时间推移而变化的方式可以不同,并且因而不同处理参数的所述演化模型可以彼此不同。这可以意味着,比针对第二处理参数执行测量频繁得多地进行测量以获得涉及第一处理参数的信息是有益的。例如,如果所述第一处理参数具有较大变化且快速地达到没有由其它处理参数所达到的阈值,则情况可能如此。另外,当众所周知所述第一处理参数与所述第二处理参数相关时,针对所述第二处理参数的采样方案可以基于针对所述第一处理参数的采样方案。例如,众所周知,边缘放置误差(第一处理参数,通常使用电子束量测工具来测量)取决于重叠误差(第二处理参数,通常使用散射仪工具来测量),因此被配置用于电子束量测工具的采样方案可以继承针对散射仪工具所导出的现有采样方案的某些性质(空间密度、时间排程)。
在上文描述了所述采样方案基于所述指纹模型和所述演化模型。也可以在所述采样方案的产生中考虑额外的因素。因而,所述采样方案可以考虑至少一个额外的因素。
所述至少一个额外的因素可以包括用于执行针对所述装置的维护的预期时间尺度。例如,如果装置具有用于诸如每6个月进行维护的已知频率,则所述采样方案可以被调适以包括这种信息并且可以确定将要相对快地(例如在维护之后的若干小时或一天)对所述测量进行排程。情况可能是,预期所述装置无漂移但所述装置每3周经历维护。这种信息可以用以产生采样方案以仅在维护之后进行采样,以确保所述装置在维护之后正确地起作用。因而,可以接着也每3周更新所述指纹模型。
至少一个额外的因素可以包括装置测量数据。所述装置测量数据可以涉及关于用以对所述衬底进行处理的所述装置的信息。装置测量数据可以涉及所述装置的条件。测量数据可以指示用于对所述衬底进行处理的至少一个装置的状态。可以从涉及所述装置的传感器(而不是用以检测所述衬底上的参数的传感器)获得所述装置测量数据。例如,可以测量所述装置的温度。如果所述温度高于预期,则在提高的温度增加了所述装置对所述衬底上的参数的影响的情况下,所述采样方案可以较频繁和/或更密集地对于待进行的测量进行排程。更通常地,可以使用所述装置测量数据以确定装置是否稳定或不稳定。如果所述装置看起来是稳定的,则正常演化模型可以用以确定所述采样方案。然而,如果所述装置是不稳定的,则所述采样方案可以确定应比将会以其它方式由所述演化模型指示的较频繁地进行测量。
至少一个额外的因素可以包括涉及用于对所述衬底进行处理的装置的情境数据。所述情境数据可以与至少一个装置的使用相关联。换句话说,所述情境数据可识别正使用哪个装置来对衬底进行处理。因而,所述情境数据可以被称作装置识别数据。所述指纹模型可以取决于用以处理所述衬底的装置而改变。如先前所描述的,线程可以是用以对衬底进行处理的装置。所述情境数据可以提供在该线程中所使用的装置的稳定性的指示。因而,如果确定了相对不稳定的装置不再用来对衬底进行处理,则可以降低采样的频率。如果确定了相对不稳定的装置将要被用来对衬底进行处理,即,被引入至所述线程中,则可以增加采样的频率。如果确定了用以对衬底进行处理的装置(即所述线程中的装置)发生改变,则可以更新所述采样方案以在装置改变之后立即或不久执行下一次测量。
可以在产生指纹模型和/或演化模型时使用所述情境数据。例如,可能已知,不同贡献因素可以产生特定的指纹,并且分离所述贡献因素以确定从每个贡献因素输入的指纹可能是有益的。例如,如果在两个不同的衬底台上测量衬底,则可能可以看见衬底的形状差。如果所述衬底的形状已知,则可以从测量数据提取所述衬底的形状的影响以获得衬底台的形状。可以从不同测试知晓不同的贡献。例如,可以从衬底台清洁测试确定所述衬底台的形状。可以对同一衬底的两个不同层执行测量。如果从测量结果移除所述衬底的形状,则可以确定层之间的差。因而,不同的贡献因素的形状可以用以较准确地确定指纹模型和/或演化模型。
至少一个额外的因素可以包括至少一个使用者阈值。使用者可能有他们想要测量和控制的特定参数。不同的使用者可能依赖于不同的关键性能指标。例如,使用者可能具有预定可接受量的重叠,高于所述重叠的预定可接受量则误差过大。因而,使用者可以提供作为阈值的输入。所述阈值可以用来以某种方式限制所述采样方案。例如,使用者可以指定测量的频率不可以低于阈值。所述使用者可以指定采样之间的所述指纹模型的预期改变应低于某一量。以这种方式,使用者可以较紧密地维持特别关注的参数。
图9描绘了根据本发明的实施例的方法,其示出与对用于确定所述采样方案的模型和可供如何使用所述采样方案的步骤进行确定相关的各种可选特征。更详细地,可以通过在步骤910中测量衬底来执行初始采样。所述方法可以包括使用上述方法中的任一方法来在步骤911中确定所述指纹模型和/或所述演化模型。可以在步骤912中储存所述指纹模型和/或所述演化模型。可以在步骤913中确定所述采样方案。步骤913可以至少涵盖来自图8的步骤902和903,并且可以在如果尚未获得适当模型信息的情况下包括步骤901。步骤913涉及确定如上文所描述的所述采样方案。在步骤914中,可以根据所述采样方案来测量衬底。所述方法可以包括在步骤915中基于测量结果来调整用以对衬底进行处理的装置的设定。作为步骤915的补充或替代,可以基于在步骤914中所执行的测量来在步骤916中更新所述指纹模型和/或所述演化模型。大多数这些步骤是可选的。步骤916可以被设置于步骤915之前。在下文描述这些步骤中的每个步骤的其它细节。
如下文将描述,一旦产生所述采样方案,则可以多种方式使用所述采样方案。
可选地,所述方法还包括根据所述采样方案来对至少一个衬底进行测量,如同图9的步骤914。这些测量属于在生产流程中所产生的至少一个衬底的至少一个参数。所述采样方案提供将要在何时和在何处进行采样、以及可能甚至如何进行采样的指令。例如,所述采样方案可以提供待采样区域和/或待进行采样的频率的指示。所述采样方案可以可选地也基于正在被测量的参数的类型而指示应使用何种类型的传感器。这有利地根据所述采样方案来测量衬底以较高效地测量由装置处理的衬底。
测量可以涉及所述衬底的各个方面。测量可以涉及至少一个衬底的处理参数。因而,所述采样方案可以用以确定将要在何时进行针对该处理参数的测量。可以自动地执行所述测量,并且例如,可以通过单独控制回路根据所述采样方案来控制所述测量。测量可以由使用者执行。
所述方法可以可选地也包括处理至少一个衬底。因而,所述方法可以包括使用至少一个装置来处理所述衬底。所述衬底(可选地包括所述衬底上的至少一个结构)的处理可以包括制造,其中图案化所述衬底。换句话说,所述处理可以包括使衬底图案化的过程。所述装置可以用以执行所述衬底上的各种不同的过程中的至少一个过程。例如,所述装置可以是先前所描述的蚀刻装置或任何其它装置。所述方法可以包括使用一定数目的装置来处理至少一个衬底,所述装置可以用以对至少一个衬底执行多种不同类型的过程。
可选地,所述方法还可以包括调整所述装置的设定的步骤,如同图9的步骤915。可以使用对至少一个衬底的测量来调整所述装置的设定。这可以作为如下文所描述的处理控制方案的部分来完成。这可以完成以变更所述装置对处理参数的影响。换句话说,所述采样方案可以用以确定将要在何时和在何处进行测量。所述测量可以接着用以抵消装置对衬底的影响。例如,如果装置对所述衬底具有越来越大的影响,则可以确定存在可以通过变更所述装置的至少一个设定来考虑(且可能减少)的定量的装置漂移。生产流程中所产生的测量数据可以用以控制装置使得它的贡献复位至其初始值。这可以被称作重新建立针对所述装置的基线。本领域技术人员将会理解,所述装置的设定可以指代所述装置的各种不同因素且可以仅指代一些形式的机械重新对准。
另外或替代地,根据所述采样方案进行的测量可以用以更新所述指纹模型和/或所述演化模型,如同图9的步骤916。可以分析所述测量数据以确定涉及所储存的指纹模型和/或演化模型的参数的改变。可以使用至少一个衬底的测量结果来更新指纹模型和/或演化模型。这是有益的,原因在于可以基于额外的新近信息而使模型保持较为新近。这可以频繁地且可选地持续地进行,以提供动态指纹模型库和/或演化模型库。
此外,所述方法可以包括分析经更新的指纹模型和/或经更新的演化模型,并且使用经更新的模型来产生针对所述装置的经更新的采样方案。换句话说,所述方法可以包括动态地更新所述采样方案以考虑所述装置的影响中的进行中的变化。
除了更新指纹模型和/或经更新的演化模型以外,所述方法也可以包括调整所述装置的设定。因而,可以在动态地更新所述采样方案之后更新并且调整装置的设定。这允许调整所述装置的设定以基于指纹模型和/或演化模型的最新近版本来变更来自处理参数的所述装置的影响。可以在动态地更新所述采样方案之前更新并且调整装置的设定。这允许基于装置的最新设定来更新所述指纹模型和/或所述演化模型。不管怎样,可以在调整装置以考虑所述装置的影响的变化之后立刻或不久之后进行测量。可以更新装置的设定作为如下文所描述的处理控制方案的部分。
可以用各种不同的方式获得所述指纹模型。例如,获得指纹可以包括基于与已由所述装置和/或至少一个其它装置处理的至少一个衬底的处理参数有关的测量和/或指纹数据来产生所述指纹模型。所述方法可以可选地包括产生所述指纹模型。各种信息和数据可以用以产生所述指纹模型。存在用于基于针对过程参数和/或指纹数据的测量来产生指纹模型的已知方法。替代地,所述方法可以仅通过接收针对指纹模型的信息来获得指纹模型而不必产生模型自身。例如,针对所述装置的通用指纹模型可以用作初始指纹模型。这可以从外部计算系统提供或可利用所述装置提供。
所述方法可以可选地包括执行初始测量以确定针对至少一个装置的指纹模型和/或演化模型,如同图9的步骤910。这可以包括对参数过采样。密集采样可以用以获得关于至少装置的漂移和参数的信息。这可以用以确定初始所述指纹模型和/或所述演化模型,如同图9的步骤911。
可以将针对给定装置的指纹相关数据、指纹模型和/或演化模型储存在库中,如同图9的步骤912。所述指纹数据可以具有相对应的时间信息以识别何时测量所述指纹数据和/或何时产生所述指纹模型。涉及多个装置的指纹相关数据、指纹模型和/或演化模型可以储存在同一库或多个库中。
可以存在影响衬底的参数的多个装置。所述多个装置中的每个装置已如何对处理参数的指纹做出贡献可能并不清楚。在上文描述了可以如何确定装置对处理参数的指纹的贡献。所述方法可以包括通过如上文所描述而确定所述装置对处理参数的指纹的贡献来导出指纹模型。换句话说,所述方法还可以包括获得处理参数数据和用途数据,其中所述处理参数数据基于针对已由多个装置所处理的多个衬底的测量,并且所述用途数据指示所述多个装置中的哪些装置用于处理每个衬底。可以使用用途数据和处理参数数据来确定所述贡献。涉及这种确定的其它细节在以上示例中加以描述并且可以被合并入本文中。上文所描述的用于确定指纹的贡献的示例性方法的任何组合可以用于导出待用于确定采样方案的指纹模型。
在一些情形中,可以由两个不同的装置来处理衬底,并且可以在所述衬底由那些装置中的两个装置处理之后进行测量。计算量测技术可以用以通过从总体所测量的指纹减去与其它贡献因素相关联的已知指纹数据来隔离贡献因素与其它贡献因素。可以通过获得由第一装置和第二装置(即,另一装置)所处理的衬底的处理参数的测量来导出所述指纹模型。因而,为了获得涉及关注的装置(例如,所述第一装置)的所述指纹模型,可以从由测量所获得的指纹减去与所述第二装置相关联的指纹,以确定由所述装置和所述另一装置处理的衬底的所述第一装置的贡献。
特别地,若针对每个装置隔离所述指纹,则这是优选的。指纹还可以与该装置内的特定方面(例如特定腔室)隔离。例如,隔离所述扫描器贡献与指纹可以是有利的。有可能从所测量的重叠(或诸如临界尺寸之类的其它参数)图减去所述扫描器。在经校正的测量中,不应保持扫描器影响,使得可以例如利用特定于蚀刻器的模型来拟合两个层中的一个层的蚀刻指纹。当也从所测量的数据移除掉蚀刻指纹时,如果在两次层曝光之间使用CMP,则可以例如利用CMP模型来对残余物进行建模。这被称为“剥洋葱”概念。
可以使经清洁的指纹可用,并且经清洁的指纹可以由线程(关于何种设备已用以处理在所述衬底上的某一层的识别)和/或时间来索引。正则化数学分析可以用以每装置或甚至针对装置的特定部分(例如蚀刻腔室)建立指纹库。指纹可以包括特定于装置的指纹演化参数化。所述装置可以单独被表征以确定针对该装置的特定指纹随时间推移的漂移。例如,可以基于经清洁的重叠指纹来确定重叠指纹(其中已移除来自扫描器的贡献)。从所述重叠指纹,可以识别出蚀刻工具的蚀刻腔室对重叠指纹具有显著边缘滚降贡献,例如从衬底的中心向边缘沿径向滚降0至3nm。这种信息可以被储存为所述指纹模型并且可以用以确定如上文所描述的所述采样方案。
可以基于例如来自主成分分析(PCA)和/或机器学习的情境信息来确定指纹模型。用于以这种方式确定指纹模型的示例在WO2015049087(其以全文引用的方式而被合并入本文中)中加以描述。指纹模型也可以基于计算量测,如在WO2017144379(其以全文引用的方式而被合并入本文中)中加以描述。
确定所述演化模型是有用的,这是因为其提供关于所述指纹数据如何随时间推移而改变的指示。因而,所述演化模型可以用以产生所述采样方案,这是因为在某一时间框内在某些区域中所进行的测量的数目将取决于各种因素,诸如已知何种信息、需要何种信息、以及所述指纹数据的变化率。例如,如果所述演化模型示出指纹的改变是相对慢的,则这可以意味着需要较不频繁的采样并且所述采样方案可以考虑这种情况。
可以用各种不同的方式来获得所述演化模型。所述演化模型涉及指纹数据/模型如何随时间推移而变化。可以分析所述指纹数据以确定最佳拟合。所述演化可以是通过在多个时间实例时比较指纹数据来确定的简单线性模型。所述演化模型可以具有较高阶。所述演化模型可以是任何适当阶。例如,所述演化模型可以是一阶或二阶。所述演化模型可以是高阶多项式,诸如5阶或6阶。
所述方法可以包括执行测量以确定所述演化模型。所述方法可以包括对衬底或多个衬底过采样以确定指纹的变化率。所述方法还可以包括用以确定所述指纹模型与指纹的改变之间的相关性的分析步骤。例如,如果所述采样率足够高以追踪所述指纹数据的任何改变,则可以测量这种相关性。可能需要在某些区域中增加采样以获得足够信息来追踪所述指纹数据的改变以确定所述演化模型。可以执行相关性步骤以检查所述演化模型的有效性。
获得针对所述处理参数的演化模型可以包括产生所述演化模型。因而,所述方法可以包括产生所述演化模型。可以通过比较第一时间实例时的测量结果和/或指纹数据与第二时间实例时的测量结果和/或指纹数据来产生所述演化模型。换句话说,可以在两个不同时间比较测量结果和/或指纹数据。测量结果和/或指纹数据可以涉及处理参数,以使得它们可以用以确定这种两个时间实例之间的所述处理参数的改变。所述第一时间实例与所述第二时间实例之间的时差可以变化或可以被设定。在所述第一时间实例与所述第二时间实例之间可以存在优选时间间隔。这可以基于所述指纹模型的预期演化。理想地,所述第一时间实例与所述第二时间实例一起足够紧密,使得所述指纹模型的变化可以准确地示出于所述演化模型中。
在上文所描述的示例中,针对蚀刻腔室确定了指纹模型。包括蚀刻腔室的示例从衬底的中心至边缘沿径向具有对重叠指纹的从0至3nm的显著边缘滚降贡献。还可以确定所述蚀刻腔室具有1个月的漂移常数(随FP(t)=exp(C*t/tau)*FP(t=0)而缩放)。这种信息可以被储存为与先前示例性指纹模型相对应的演化模型。所述演化模型可以用以确定如上文所描述的所述采样方案。
各种不同技术可以用以确定所述演化模型。例如,可以使用分解技术来确定所述演化模型。可以参数化所述指纹数据以在空间和时间上获得针对指纹的演化模型。如果指纹数据的变化可以被完全参数化,则可以确定装置的准确演化。演化参数化(例如,基于时间尺度)有助于在测量之间进行插值和/或外推。这可以导致额外的虚拟测量数据源提高基于计算量测的解决方案的准确性。可以从测量数据提取经外推的数据以改善根据已知贡献因素对测量数据的清洁。
在示例中,场间内容建模可以用以比较衬底的第一层与衬底的第二层之间(即,衬底的两个不同层之间)的指纹模型。可选地,原始数据(即,未筛选的数据)可以用以产生针对特定层的参数的图。仅作为示例,在下文提及水平传感器图,但应理解,可以使用不同的或额外的参数测量/信息。由水平传感器所检测到的高度差可以是针对过程相关污染的重要指标,和/或当这样的污染看起来跨越所述衬底是一致的时,所述高度可以是针对用以支撑所述衬底的衬底台的污染的重要指标。
可以确定和/或获得聚焦斑的存在,使得预定值可以被确定为特定层之间的临界可允许变化。可以为了过程稳定性而监控所述场间指纹。聚焦斑数据与产率相关,并且因此,也可以用于确定预期产率,例如每衬底的预期数目的可用管芯。以这种方式,可以基于在层与层之间查看衬底的曝光之间的差来确定,可以出于各种原因(例如由于因过程/装置而导致的污染和/或在处理期间的衬底台的污染)而改变的指纹的演化。例如,可以基于第一高度图与第二高度图之间的差来确定指纹或污染。可以确定差异的图,从而示出从所述第一高度图至所述第二高度图的改变。可以控制所述采样控制方案,以与可以用其它方式所需的相比更多地测量相关参数。这可以有益地提供冗余信息以有助于监控典型指纹的变化。所述冗余信息可以用以改善所述演化模型的稳固性并且可以用以查看所述演化模型的随时间的变化。因而,由测量工具所测量的冗余信息可以用以有助于下文中进一步详细描述的机器学习。
上文描述了用于确定指纹的演化的方法。例如,指纹会按比例缩放,新指纹会浮现,指纹会消失。这在涉及用于维持指纹的方法的以上示例中加以描述。特别地,图3描述追踪演化指纹的构思。首先,建立参考指纹库(历史数据)、将新数据分解成这种参考指纹的集合,并且在a)需要对指纹的调适以解释所述差(在新指纹成分与参考集合相比在几何形状上类似时,或b)需要将新成分添加至参考指纹的集合以便使新数据在所述参考指纹的集合中具有基底时,指纹的演化变得明显。涉及这种确定的其它细节在以上示例中加以描述并且可具被合并入至本发明中。上文所描述的用于维持指纹的示例性方法的任何组合可以用于导出将要用于对待用于确定采样方案的演化模型进行确定的指纹模型。
如上文所描述的,所述演化模型可以表示由于随时间推移而进行的处理而导致的指纹的改变。所述演化模型可以表示衬底的层与层之间的指纹的改变。替代地,所述演化模型可以表示衬底与衬底之间的指纹的改变。
在特定示例中,可以基于一批次衬底中的特定衬底(例如第一衬底)的测量而确定所述指纹。演化模型可以用以确定所述批次中的其它衬底的相对应的层上的指纹的变化。换句话说,所述演化模型可以表示指纹在衬底与衬底之间的变化。可以比较每个衬底中的相同层,例如第一衬底中的第一层与第五衬底中的第一层,以获得所述演化模型。所述演化模型可以提供不需要进一步采样、和/或进一步采样不是时间高效的足够信息。因而,所述采样方案可以确定仅测量在一批次中的单个衬底(例如,所述第一衬底、或在如果测量所述批次中的不同衬底的情况下的另一衬底),并且基于那些测量和演化模型而执行在所述批次中的剩余衬底的处理。如果在一个批次内在衬底与衬底之间不存在大量变化,和/或如果在一批次中的衬底之间的变化一致且可以被考虑,即,如果演化是尤其稳定的,则情况可以是这样。因而,在最极端情况下,可以测量在一批次中的单个衬底以确定指纹,并且接着,基于所述指纹模型和所述演化模型,可以确定在对该批次衬底的处理期间没有进行其它测量。
替代地,所述演化模型可以表示层与层之间、以及衬底与衬底之间、或并非衬底与衬底之间的变化。可以根据所述演化模型来确定层之间所使用的过程步骤不会显著地变更所述衬底的形貌。换句话说,层之间的变化可以较小。在这种情况下,可以确定每衬底仅测量一个层。例如,可以执行一个层的测量,并且所述演化模型可以用以预测针对该衬底的层与层之间的变化。
这两个特定示例可以有益于识别,当衬底与衬底和/或层与层之间的变化非常小时,将采样减少到至少一个特定地确定的层和/或衬底可以是较为高效的。这可以减少总体测量时间,而同时确保实现可接受的曝光性能。
这些方法可以用于上文所描述的参数中的任一参数。在示例中,这些方法可以用于确定将要何时/如何使用水平传感器来执行所述测量。例如,可以例如根据初始测量结果来确定针对衬底的所述指纹数据。可以例如基于其它测量来确定演化模型,从而示出在至少一个衬底中的层与层之间的变化和/或衬底与衬底之间的变化。如上文所描述的,可以在所述采样方案的产生中考虑诸如情境数据(例如,过程知识、衬底厚度等等)之类的至少一个额外的因子。例如,可以在确定所述演化模型时使用所述情境数据。可以接着产生所述采样控制方案以减小由水平传感器所进行的测量的数目。例如,可以极大地减小测量的数目,并且演化和指纹模型可以用以预测可以用以控制所述衬底的处理的衬底特性(例如,预测水平传感器高度图)。可以确定每批次衬底仅进行一个测量。这可能是尤其有用的,原因在于基于先前测量的信息可以用以计算并且预测不同层和/或衬底的参数,而不进一步需要测量额外的衬底。这可能是有益的,原因在于减少了针对特定参数的测量时间,这可以意味着可能较快速地执行所述衬底的处理,和/或可以执行可以减少误差并且导致制造较大数目的可用管芯/衬底(即,用以提高吞吐量)的其它测量。
在上述示例中,所述演化模型可以用以确定如上文所描述的采样控制方案。基于此,可以在特定时间段之后在选定位置处进行测量,以重构所述参数指纹的低频近似值。这可以接着基于所述演化模型来与预期参数指纹进行比较。
替代地,如上文提及的,可以与针对准确处理所需相比每批次进行更多测量,即,可以在所述采样控制方案内进行一些冗余测量。这些额外的测量可以用作对于指纹模型和/或演化模型的反馈,并且因而可以用以分别验证和/或富集所述指纹模型和/或演化模型。
不论采样控制方案是否将采样量保持在最低值,或包括一些冗余测量以改善模型的稳固性或鲁棒性,可以使用采样控制/排程来智能地控制由装置进行的测量的总体量。所述采样控制方案因而可以被确定为用以提供对测量时间与信息密度的比进行优化的分布式采样方法。这降低在层与层之间和/或衬底与衬底之间的基础上超出必要地进行测量的风险。这意味着有价值的测量时间(例如,使用诸如水平传感器之类的第一量测系统来进行测量)可以用以潜在地进行更重要的测量(例如,由诸如第二量测系统之类的其它装置,诸如对准传感器,来进行该测量)。在这个示例中,可能的是,可以仅在曝光之前执行测量(例如,用于调平),这因而可以优化执行测量同时选择可接受的曝光性能的方式。
所述方案可以用以对量测工具(诸如水平传感器)进行配置来测量经受制造过程的衬底的性质(诸如水平传感器高度图)。基于包括来自多个处理平台处的多个衬底的性质的测量值的历史数据,所述演化模型可以基于衬底之间的性质的预期变化和/或处理平台之间的性质的预期变化。所述方法还可以包括:基于针对当前处理平台的性质的所预期的衬底间变化和/或与衬底的当前处理平台相关联的性质相比于先前处理平台的预期偏离,来对所述量测工具进行配置。换句话说,用以控制量测工具的所述样品控制方案,(即,由特定装置进行测量的方式),可以基于所述演化模型。
如上文简要地提及的,由一些测量工具进行的测量可以比由其它测量工具进行的测量更有价值。因而,减小由一个传感器(例如水平传感器)进行的测量的数目可以增加针对由另一传感器(例如对准传感器)进行的测量的时间可用性。所述采样测量方案可以优化由多个测量工具进行的测量。所述采样控制方案可以平衡一个测量工具的输入和测量与另一测量工具的输入和测量。大体上,所述采样控制方案可以用以指示待由多个装置进行的测量。在所述采样控制方案中所考虑的额外的因素可以是由不同工具(如下文所描述的)进行的测量之间的已知关系。
已知测量系统/技术存在以下风险:即使可较为有用地进行其它测量,(即,由不同装置进行测量),也可以执行测量。例如,可能花费可能更优选地花费来进行对准测量的时间,从而进行调平测量,或反之亦然。所述采样控制方案可以优化利用多个测量工具进行的测量。例如,代替针对所有衬底和标准衬底部分进行调平和对准测量,可以平衡利用由另一测量工具所进行的测量与由一个测量工具所进行的测量。这可以通过考虑由采样控制方案中的不同测量工具进行的测量之间的已知关系来完成。
例如在可以使用先前所测量的数据而不损失过多准确性的情况下,使这种平衡基于数据的变化性和/或基于对该数据的了解对于所执行的某一过程的重要程度可能是有益的。例如,聚焦临界过程可能更受益于较多的调平测量。然而,重叠或对准临界过程可能更受益于较多的对准测量。在使用水平传感器和对准传感器的示例中,可以针对至少一个衬底进行来自调平测量工具的测量和来自对准测量测量工具的测量,即用以针对由每个测量工具所测量的特性来确定指纹模型。可以针对基于来自调平测量工具和/或对准测量工具的测量的特性来确定至少一个演化模型。所述演化模型可以示出层间和/或衬底间变化。可以基于来自两个测量工具的测量来获得演化模型。所述演化模型可以用以确定不能基于历史和情境数据来预测的特性或成分。可以使用来自两个测量工具的所述演化模型来产生所述采样控制方案。所述采样控制方案可以可选地考虑情境数据,例如过程知识、衬底厚度、来自离线或脱机工具的衬底高度图、夹持模型,等等。鉴于某一测量时间预算和数据的每层临界性(例如,最小所需准确性),所述采样控制方案可以基于所述非预测性成分来优化所述水平传感器和/或对准传感器采样,以分配由所述对准传感器和所述水平传感器跨越衬底、层和批次进行的测量。所述采样控制方案可以考虑由水平传感器工具进行的测量与由调整传感器工具进行的测量之间的已知关系。结果,水平传感器测量与对准传感器测量可以被平衡(共同优化),以实现曝光前信息的改善的、或甚至最佳的内联或串列采集水平,从而允许例如跨越多个衬底和多个层的总过程性能的最佳曝光控制。
换句话说,所述方法可以包括确定可以用以控制如已描述的至少一个量测工具所进行测量的采样控制方案。然而,在这个示例中,采样用以基于两个不同性质中所观察到的变化性来共同优化由第一量测工具进行的测量与由第二量测工具进行的测量。所述采样控制方案可以仅适用于一个测量工具,但可以考虑用于另一测量工具的已知采样方案。
在特定示例中,可以注意到水平传感器测量尤其适用于指出平面外变形。平面外变形也可以由对准传感器测量。例如,在平面外变形可以由任一传感器测量的衬底的边缘处,可能存在相关性。因此,可以仅使用一个传感器,而不是使用所述衬底的边缘处的两个传感器。替代地,在其它区域中,可以确定传感器中的每个传感器提供无法由另一传感器获得的有用信息,并且因此,可以使用两个传感器。当确定将要如何根据所述采样控制方案来进行测量时,这提供较大的自由度。应理解,相同的原理可以应用于其它测量工具。
可以将参数相关数据、所述指纹模型和/或所述演化模型被储存在库中。可以从所述库获得所述指纹模型和/或所述演化模型。所述库可以是数据库的等效物。所述库可以被储存在存储器储存单元中。所述存储器储存单元可以结合其它部件和计算机相关装置来提供。可以在若干部分中提供所述库,例如,指纹相关信息在第一部分中且演化相关信息在第二部分中。
指纹的库可以与单独的贡献因素相关联。例如,单独的贡献因素可以各自涉及影响所述衬底的参数的特定装置。例如,单独的贡献因素可以包括但不限于扫描器、蚀刻器、沉积装置等等。可以通过监控由充分变化的量的线程所处理的衬底的指纹来获得储存在库中的信息。优选地,指纹数据具备情境,例如,指示特定装置已用以对衬底进行处理的信息(例如哪个蚀刻腔室、卡盘、轨道或涂覆显影系统,等等)和在若相关的情况下建立所述指纹的所观测演化的参数。
可以动态地更新所述指纹模型和/或所述演化模型。所述指纹模型和/或所述演化模型可以由情境数据和/或测量数据来动态地更新。例如,可以使用与至少一个装置和/或指示用于对所述衬底进行处理的至少一个装置的状态的测量数据的使用相关联的情境数据来更新所述演化模型。这意味着所述演化模型可以被更新以考虑与所述装置有关的信息,以使得所述演化模型较为新近即更具有时效性且新近或时效性的信息可以用以产生所述采样方案。
所述指纹模型和/或演化模型可以是能够持续地更新的(训练的)先进模型以便针对在任何时刻用于进行处理的装置的状态如准确。情境和测量数据对保持所述指纹模型具有时效性来说是至关重要的。
可能发生的是,新情境数据和/或测量数据可能指示某一装置对处理参数指纹的贡献是可忽略的(低于阈值)。在这种情况下,所述采样方案可以被确定以跳过根据针对所述某一装置的所述采样方案来在衬底上产生测量。
可以使用机器学习来更新所述演化模型和/或所述指纹模型。这意味着涉及处理参数和采样方案的信息可以用以确定将要如何和在何时更新所述演化模型和/或所述指纹模型。大体上,这意味着所述方法可以包括分析来自所进行的测量的数据、和/或指纹模型的变化、和/或演化模型的变化。可以储存进行中的测量相关信息和分析。所述分析可以用以识别信息中的图案。所述图案可以用以预测指纹模型和/或演化模型的未来改变。因而,所述方法可以学习指纹模型和/或演化模型如何随时间推移而变化并且使用这来产生较为高效的采样方案。所述预测可以用以预测最佳采样方案。
例如,这可以意味着较不频繁地改变该采样方案,这减少了用于产生所述采样方案所需的处理。例如,对所述演化模型的分析可以指示存在在一组时间段内发生的定量的漂移。所述方法可以考虑这点,并且可以将所述演化模型的这种变化用作针对所述演化模型的基线。如果这随时间推移而改变,则对测量的分析可以通知所述演化模型的变化的模式,并且使用这来更新所述演化模型。
不需要根据采样方案来监控和采样用以对衬底进行处理的每个装置。换句话说,所述采样方案可以仅基于线程中的装置中的一些装置。所述采样方案可以仅用于对衬底的参数具有最大影响的装置和/或被确定为比其它装置较不稳定的装置。
上述方法提供用于确定针对将要由至少一个装置处理的衬底的采样方案的细节。相同方法可以用以确定针对用于对衬底进行处理的装置的处理控制方案。在上文简要地描述了基于所述采样方案的控制装置的一些示例。更通常地,可以对指纹模型和演化模型执行相同的分析(在上文被描述为用于确定采样方案)以产生处理控制方案。这可以作为产生上文所描述的采样方案的替代或补充。
所述处理控制方案可以提供如何控制对所述衬底的处理的指示。基于所述演化模型和所述指纹模型而产生处理所述控制方案。所述指示可以包括正在由至少一个装置处理的所述衬底的特定方面,诸如所述衬底的优选位置(例如,在由特定装置处理时)和/或所述衬底的优选总体形状(例如,在由特定装置处理时)。所述指示可以指定如何控制所述装置,例如,所述指示可以包括针对所述衬底的位置控制的优选设定和/或所述装置的透镜操纵器的优选设定和/或用于控制所述衬底的形状的优选设定。所述指示可以包括用于调整用以对所述衬底进行处理的至少一个装置的信息,例如用以调整用来对所述衬底进行处理的光刻设备中的透镜的信息。
可以确定存在装置中的至少一个装置的随时间推移的漂移,其被表示于演化模型中。因而,所述衬底相对于该装置的优选位置可以随时间推移而略微地不同,这是因为装置的影响发生漂移。因而,通过随时间推移来改变所述衬底的优选位置以考虑所述漂移,所述处理控制方案可以用以考虑这种漂移。所述方法还可以包括基于所述处理控制方案来调整或控制所述衬底的位置。例如,所述处理控制方案可以由用以控制所述装置的位置的致动器来实施,以减少所述装置相对于至少一个衬底的随时间推移的漂移。
可以确定至少一个装置随时间推移以不期望的方式影响衬底的总体形状。因而,所述处理控制方案可以用来以各种方式考虑所述衬底的总体形状的这种改变。例如,所述处理控制方案可以包括用于变更所述装置、和/或用于对所述衬底执行额外的处理步骤、和/或用于相对于所述装置定位所述衬底使得减少形状改变的影响的信息。所述方法还可以包括调整或控制所述衬底的形状、调整哪个装置用来对衬底进行处理、和/或控制所述处理控制方案上使用的所述衬底的位置。例如,所述处理控制方案可以由用以控制所述装置的形状和/或位置的致动器来使用,以减少所述衬底的总体形状的随时间的变化。
关于如何控制所述衬底的处理的指示可以包括用于调整用来对所述衬底进行处理的至少一个装置的信息。换句话说,所述指示可以包括用于调整用来对衬底进行处理的装置的设定的特定细节。例如,确定特定装置或部件的影响随时间推移而漂移可能是有益的。因而,并非例如如上文所描述般而调适所述衬底的位置,可以调整所述装置自身以考虑所述装置或部件的影响的变化。理想地,这可以导致所述装置复位至最小化或减小对所述衬底的影响的变化的初始设定。所述方法还可以包括基于所述处理控制方案来调整或控制所述装置。例如,所述处理控制方案可以由装置的至少一部分使用,例如,所述光刻设备中的致动器可以控制用以对所述衬底进行处理的透镜,并且所述致动器可以基于所述处理控制方案来调整所述透镜(例如,透镜元件的位置或定向)。
如在上述示例中的一些示例中所描述的,所述方法还可以包括使用关于如何控制所述衬底的处理的指示来控制所述衬底的处理的步骤。因而,所述方法可以包括用以实施被确定以形成对所述指纹模型和/或所述演化模型的分析的指示的实际步骤。
另外或替代地,本发明可以提供一种针对由多个装置处理的衬底来确定多个装置中的哪个装置对衬底的处理参数的指纹做出贡献的方法。因而,所述方法可以用以诊断由多个装置处理的衬底中的误差。确定多个装置中的哪个装置对衬底的处理参数的指纹做出贡献的方法可以与用于产生采样方案的上述方法相同。然而,代替特定地产生采样方案,对所述指纹和所述演化模型的分析被用以确定多个装置中的哪个装置对衬底的处理参数的指纹做出贡献。这将会有益于识别用以对衬底进行处理的多个装置中的贡献于所述衬底上的误差(例如重叠)的特定装置。另外,所述方法可以包括调整用以基于所述识别来对衬底进行处理的装置中的至少一个装置。换句话说,对于来自多个装置的被确定为已对所述衬底的处理参数的指纹做出贡献的装置,所述方法还可以包括基于所确定的贡献来针对所述装置调整设定。可以调整装置以减少或移除该装置对所述处理参数的指纹的贡献。
确定多个装置中的哪个装置对衬底的处理参数的指纹做出贡献的步骤可以使用对所述指纹和所述演化模型的分析,并且也可以使用多个装置的已知的处理参数信息。因而,例如,可以比较针对至少一个装置的已知指纹模型或演化模型与由多个装置处理的所述衬底的指纹模型和/或演化模型。所述比较可以用以确定哪个装置具有最接近地匹配所述模型的指纹和/或演化数据。例如,可以通过确定哪个装置当相比于指纹模型和演化模型时具有携带最少残余物的信息,来使用已知计算方法。最接近地匹配指纹模型和/或演化模型的已知信息可以被确定为对特定的指纹做出贡献、或至少比其它装置更多地对特定的指纹做出贡献的装置。
所述方法还可以包括分解指纹数据以产生至少一个分量数据的集合的步骤。所述指纹模型可以包括至少一个分量数据的集合。因而,至少一个分量数据的集合可以被视为如上文所描述的指纹子模型。在这种情况下,所述总体指纹模型可以包括多个指纹模型,每个指纹模型对应于分量数据集合。通过分解总体指纹数据或总体指纹模型,所述分量数据集合是跨越所述衬底的至少一部分的数据。经分解的所述指纹数据可以呈各种形式。经分解的指纹数据可以表达为初始指纹模型,所述初始指纹模型进一步被分解成用于如所描述的分析的经更新的指纹模型。
将指纹数据(或初始指纹模型)分解成若干分量数据集合的示例被示出为图10的步骤1。如所示出的,指纹数据(或初始指纹模型)被分解成三个分量数据集合X、Y和Z。每个分量数据集合可以对应于如上文所描述的指纹子模型。
可以用许多不同的方式分解所述指纹数据。所述分解步骤可以是数学分解。例如,可以对总体指纹数据和/或指纹模型执行主成分分析。主成分分析可以用以确定由图10中的三个分量数据集合X、Y和Z所示出的分量数据。另外或替代地,可以使用计算量测。每个分量数据集合可以表示所述衬底的实体方面。分量数据集合可以被组合以形成原始指纹数据(或初始指纹模型)。如上文所描述的,可以从各种源接收或获得原始指纹数据(或初始指纹模型)。例如,可以从由量测工具进行的测量来获得所述原始指纹数据。
可以通过使量变化来分解所述指纹数据(或初始指纹模型)。因而,可能存在不同数目的分量数据集合。图10示出所述指纹数据被分解成三个分量数据集合(X、Y和Z),但数据可以被分解成较少或较多数目的分量数据集合。
所述演化模型可以基于至少一个分量数据的集合的随时间的变化。因而,所述演化模型可以包括针对分量数据集合中的每个分量数据集合的多个单独的演化模型。这类似于如上文所描述的演化子模型。这示出于图10的步骤2中,其中随时间推移而计算出每个分量数据集合的演化。分量数据集合的演化由针对分量数据集合中的每个分量数据集合的计分相对于时间的图形示出。所述计分可以是例如指纹数据在其随时间推移而改变时的主成分分析计分。时间可以是任一任意时间单位。用以绘制不同的分量数据集合的时间单位应是对于分量数据集合一致的。在图10中示出的示例中,图形中的演化模型X'表示分量数据集合X随时间的变化,图形中的演化模型Y'表示分量数据集合Y随时间的变化,并且图形中的演化模型Z'表示分量数据集合Z随时间的变化。
所述方法还可以包括变换至少一个分量数据的集合的演化模型(X'、Y'、Z')的步骤。例如,这示出于图10的步骤3中,其中针对每个分量数据集合(X、Y、Z)变换所述演化模型(X'、Y'、Z')。更具体地,可以对于针对分量数据集合(X、Y、Z)的演化模型(X'、Y'、Z')执行傅立叶变换。因而,每个分量数据集合(X、Y、Z)的随时间的变化可以被变换成针对所述分量数据集合的频率的函数。换句话说,傅立叶变换用以分解针对至少一个分量数据的集合的演化模型。所述频率数据(X”、Y”、Z”)被表示在图10的右侧上的图形中。所述傅立叶变换在这里被称作一种用以将空间或时间信息变换成相对应的空间和时间频谱的通用方法。也可以使用从空间或时间数据得到频谱信息的其它类型的数学变换。所述方法还可以包括识别针对至少一个分量数据的集合的经变换演化模型的主频率。经分解的演化模型可以用以识别针对至少一个分量数据的集合中的每个分量数据集合的频率范围。所识别的频率范围可以指示针对每个成分的主频率。所述主频率可以仅是与经变换的演化模型的峰值频率相对应的频率。换句话说,所述主频率是具有最大频谱振幅的频率,或换句话说具有最大能量的频率。这也可以被称为峰值频率。所述主频率由图10的右侧上的图形图示为图形中所示出的峰值。因而,傅立叶变换可以用以识别主频率。
显示频率数据X”的图形表示了所述分量数据集合X已经被变换并且绘制以确定所述主频率。如图形X”中示出的,采样密度要求可以是大致20%。这由在大致0.2处对比时间而绘制的频率的峰值来指示。所述采样密度要求表示总衬底数目中的足以重构针对将要准确地测量的所有衬底的分量数据的演化的衬底数目。因而,例如,如果存在100个衬底,并且采样密度频率是20%,则可以仅测量所述衬底中的20个,并且可以针对所有衬底来准确地确定分量数据的演化。换句话说,在这种情况下不需要测量所有衬底。例如,如果仅测量100个衬底中的20个,则待测量的衬底可以被间隔开,其可以是所测量的第1衬底、第6衬底、第11衬底、第16衬底等等。待测量的衬底可以遍及整个总数目的衬底而等间隔地间隔开以准确地确定所述演化。
显示频率数据Y”的图形表示所述分量数据集合Y已被变换并且绘制以确定所述主频率。如图形Y”中示出的,所述采样密度要求可以是大致40%。这由在大致0.4处相对于时间而绘制的频率的峰值来指示。示出频率数据Z”的图形表示所述分量数据集合Z已经被变换并且绘制以确定所述主频率。如图形Z”中示出的,所述采样密度要求可以是大致10%。这由在大致0.1处对比时间而绘制的频率的峰值来指示。
所述方法可以包括确定至少一个分量数据的集合的相干时间。所述相干时间可以与至少一个分量数据的集合的主频率成反比。例如,最简单地,所述相干时间可以是k/(主频率),其中k是比例常数。大体上,所述主频率可以具有某一小的不确定性,这将产生在对相干时间的确定中的某一小的不确定性。在该情况下,范围的平均值可以用作平均相干时间。所述相干时间可以是指纹被视为稳定的时间长度。当所述相干时间与主频率成反比时,这意味着:较高主频率意指较低的相干时间,即,较短的稳定周期,并且反之亦然。有利地,因为所述指纹数据(或初始指纹模型)已被分解,所以可以确定特定的分量数据集合中的哪种分量数据集合导致哪些特定主频率成分。这是有用的,这是因为将已知哪一致动器用以测量哪种成分。由此,可以基于相关成分来优化针对所述致动器的采样控制方案。另外,这也意味着一个特定成分可以与具有已知频率响应的一个特定过程参数相关,例如衬底台的振动频率。这可以有助于识别出根本原因。大体上,具有较大贡献的经变换的演化模型的频率成分示出于如具有较高量值的图形中。
如下文所描述的,可以使用所述相干时间和/或主频率来产生所述处理控制方案和/或所述采样方案。所述主频率与所述相干时间可以被能够互换地使用,这是因为众所周知它们成反比,因此较低的主频率涉及较高的相干时间,并且反之亦然。
如上文所指示的,较低的主频率等同于较稳定的演化模型。因而,具有较低主频率的分量数据集合可能不需要具有这样的频繁采样和/或控制。这意味着大体上,慢采样或处理控制方案可以是优选的。这可以例如通过使用用于控制所述衬底的定位和/或用于控制测量工具以对所述衬底进行采样的较慢移动的致动器来实施,这是因为快速移动的致动器不是必需的。例如,慢速移动的致动器可以是优选的以控制测量工具以较低频率进行采样,例如仅对每个衬底批次中的一个衬底进行采样。慢致动器意味着较不频繁的采样和/或控制。
在实践中,较高主频率等同于较不稳定的演化模型。因而,具有较高主频率的分量数据集合可能需要具有较频繁的采样和/或控制以考虑较快速改变的指纹。这意味着大体上,快采样或处理控制方案可以是优选的。因而,例如,快速移动的致动器可以是优选的,诸如用以控制测量工具以对每个衬底进行采样的致动器。
图10中示出的图形是基于一个示例数据的示例。然而,图11示出如上文所描述的已应用于真实数据的相同步骤的示例。在这个示例中,在第一步骤中将所述指纹数据(或初始指纹模型)分解成四个分量数据集合(K、L、M、N),并且所述演化模型(K'、L'、M'、N')基于四个分量数据集合(K、L、M、N)中的每个分量数据集合。
如从图11可见的,图11的右侧上的图形中所示出的真实数据集的时间频率数据(K”、L”、M”、N”)比图10中所示出的示例数据集略微更大地间隔开。然而,例如,可以看见,频率数据K”具有大体上占主要地位的(即,较大的)较低时间频率。因而,慢速移动的致动可以是优选的。频率数据L”具有在光谱的低端和高端处占主要地位的时间频率。这意味着可以有用地提供快速移动的致动器,并且可能地提供额外的慢速移动的致动器。频率数据M”示出低时间频率中的主要频谱,这意味着可以使用慢速移动的致动器。类似于频率数据L”的频率数据N”具有低主频率和高主频率,这意味着可以使用快速移动的致动器,可能添加有慢速移动的致动器。
图12示出了具有在不同频率情况下所示的各种主峰值的时间频率的示例。如果主频率处于区段A中,则这可以意味着所述采样方案和/或处理控制方案可以是相对慢的。例如,所述采样方案可以指示应该每个批次仅测量一个衬底,和/或所述处理控制方案可以指示需要每批次更新一次所述控制。这可以对应于图10中示出的第二分量数据集合Z。
如果主频率处于区段B中,则这可以意味着所述采样方案和/或处理控制方案可以是相对慢的,但比如果主频率处于区段A中的情况更快。例如,所述采样方案可以指示应每四个衬底或五个衬底测量仅一个衬底,和/或所述处理控制方案可以指示需要每四个衬底或五个衬底更新所述控制。这可以对应于图10中所示出的第一分量数据集合X。
如果主频率处于区段C中,则这可以意味着例如通过使用较快的致动器,所述采样方案和/或所述处理控制方案可以是相对快的。例如,所述采样方案可以指示仅应测量每个衬底,和/或所述处理控制方案可以指示需要针对每个衬底更新所述控制。这可以对应于图10中示出的第二分量数据集合Y。
如果主频率处于图12的区段D中,则这可以意味着所述采样方案和/或处理控制方案可以是非常快的。在一些情况下,这可以意味着时间频率过高而无法利用任何当前已知的装置/控制机构来进行校正和/或测量。在这种情况下,可能不会校正或测量(即,利用任何当前已知的致动器/控制机构)所述变化,这是因为致动器/控制机构可能不能够足够快速地移动。因而,从所述控制指纹移除这种数据集可以是较优选的,这是因为如果无法控制所述变化,则针对这种分量数据集合的图形和模型中的数据相当于噪声。因而,如果移除噪声,则这改善总体分析、测量和控制。
图12可以被一般化以指示快速移动的致动器/测量/控制机构应被用于区域C中的主频率,中速移动的致动器/测量/控制机构应被用于区段B中的主频率,并且慢速移动的致动器/测量/控制机构应被用于区段A中的主频率。
当执行用以确定所述相干时间的分析时,所述信息可以用以发现最佳采样和/或处理控制方案。例如,可以通过知晓可以有助于限定动态采样的所述相干时间(或主频率)来优化所述时间采样密度(即,所述采样方案的时间方面)。更具体地,可以基于至少一个分量数据的集合的相干时间来优化所述采样方案和/或处理控制方案。
可以例如通过避免使用快速测量工具/致动器/控制机构等等(其是较为昂贵的)以控制缓慢地变化的指纹来优化测量工具/致动器/控制机构等等的使用,并且反之亦然。这使可用衬底的产生较高效,这是因为其意味着快速测量工具/致动器/控制机构等等可以用于所述指纹数据的快速改变的成分,并且慢速测量工具/致动器/控制机构等等可以用于所述指纹数据的慢速改变的成分。因为较慢测量工具/致动器/控制机构等等倾向于是较便宜的,所以这意味着较昂贵的快速移动测量工具/致动器/控制机构等等不用以测量慢速改变的指纹。特别地,这可能适用于与涉及多个参数的数据实现共同优化。
上述方法可以有益于改善用于确定将要在何时和在何处对所述衬底进行测量和/或如何控制所述衬底的处理的过程。因而,所述方法可以用以产生所述采样控制方案和/或处理控制方案。所述相干时间也可以用以确定针对用以对衬底进行处理的装置的其它方面的处理控制方案,并且不仅仅确定针对用以如许多上述示例中所描述般控制所述衬底的位置的致动器的处理控制方案。例如,所述处理控制方案可以确定何时需要对用于照射衬底和/或控制衬底自身的总体形状的透镜进行调整。
当多个装置用来对衬底进行处理时,可能已知了装置随时间推移以不同的方式影响所述衬底的参数,如先前所描述的。所述主频率和/或相干时间可以用以诊断由多个装置所处理的衬底中的误差。至少一个分量数据的集合的主频率和/或相干时间可以与针对多个装置的已知的处理参数信息相当,并且通过比较所述处理参数信息与主频率和/或相干时间,可以确定用以对衬底进行处理的多个装置中的哪个装置对于针对所述衬底的处理参数的指纹做出贡献。换句话说,主频率和/或相干时间可以用以确定误差的根本原因。根本原因调查可以将时间演化用作关键性能指标。例如,通过比较一个过程参数(诸如重叠或EPE)内的某些指纹的时间演化与另一过程参数(例如蚀刻腔室的温度或电压)的演化。显著相关性可以隔离所述指纹的根本原因,并且因而用以识别多个装置中的哪个装置已对所述衬底的处理参数的指纹做出贡献。
可以提供一种包括被配置成用以实施上文所描述方法的步骤的处理器的系统。所述处理器被配置成产生针对被配置成处理和/或测量至少一个衬底和/或针对由多个装置所处理的衬底来确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献的装置的控制方案。所述处理器被配置成用以执行根据上文的实施例中的任一实施例的方法。所述处理器可以是自动化过程控制(APC)系统和/或管理控制系统的部分,或连接至自动化过程控制(APC)系统和/或管理控制系统。
所述处理器可以被配置成确定针对被配置成处理和/或测量至少一个衬底的装置的控制方案,所述处理器被配置成用以:获得指纹模型和演化模型,其中所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据,并且所述演化模型表示所述指纹数据随时间的变化;以及分析所述指纹模型和所述演化模型。所述处理器可以被配置成用以使用所述分析来产生针对装置的采样控制方案,其中所述采样方案提供关于将要在何处和何时对于由所述装置处理的衬底进行测量的指示,和/或使用所述分析来产生针对装置的处理控制方案,其中所述处理控制方案提供关于如何控制所述衬底和/或所述衬底的处理的指示,和/或使用所述分析和多个装置的已知的处理参数信息,针对由多个装置所处理的衬底来确定多个装置中的哪个装置对处理参数的指纹做出贡献。
在本发明中,可以提供一种包括计算机可读指令的计算机程序,所述计算机可读指令在适合的计算机受控系统上执行时使得所述计算机受控系统执行上文所描述的方法。可以提供包含机器可读指令的一个或更多个序列的所述计算机程序,所述机器可读指令描述确定针对用于用以处理和/或测量至少一个衬底的装置的控制方案的方法。可以使用包含机器可读指令的一个或更多个序列的计算机程序来实施以上方法中的任一方法。也可以提供在其中储存有这种计算机程序的数据储存介质(例如,半导体存储器、磁盘或光盘)。
提供一种程序,用于控制针对被配置成处理和/或测量至少一个衬底的装置来确定控制方案,和/或针对由多个装置处理的衬底来确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献。所述程序可以包括用于执行以下步骤的指令:获得指纹模型和演化模型,其中所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据,并且所述演化模型表示指纹数据随时间的变化;以及分析所述指纹模型和所述演化模型。所述计算机程序可以包括以下步骤:使用所述分析来产生针对所述装置的采样控制方案,其中所述采样方案提供将要在何处和何时在由装置处理的衬底上进行测量的指示,和/或使用所述分析来产生针对所述装置的处理控制方案,其中所述处理控制方案提供关于如何控制所述衬底和/或所述衬底的处理的指示,和/或使用所述分析和多个装置的已知的处理参数信息,针对由多个装置所处理的衬底来确定多个装置中哪个装置对处理参数的指纹做出贡献。所述程序可以包括用于执行上文所描述的方法中的任一方法的步骤的指令。
这种计算机程序可以例如在图1的控制单元LACU内执行,或例如在包括量测设备140的量测系统内的某一其它控制器内执行,或在先进过程控制系统或单独咨询工具中执行。可以可选地将所述程序储存在存储器中,存储器是自动化过程控制(APC)系统和/或管理控制系统的部分,或可以由自动化过程控制(APC)系统和/或管理控制系统存取。
下文的编号后的实施例列表中披露了本发明的另外的实施例:
1.一种确定针对由装置处理的衬底的采样方案的方法,所述方法包括:
获得指纹模型和演化模型,其中所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据,并且所述演化模型表示所述指纹数据随时间的变化;
分析所述指纹模型和所述演化模型,并且使用所述分析来产生所述装置的采样方案,其中所述采样控制方案提供待在何处和何时对由所述装置处理的衬底进行测量的指示。
2.根据实施例1所述的方法,还包括处理至少一个衬底和根据所述采样方案对所述至少一个衬底进行测量,所述测量与所述至少一个衬底的所述处理参数相关。
3.根据实施例2所述的方法,还包括使用所述至少一个衬底的所述测量来调整所述装置的设定,以变更所述装置对所述处理参数的影响。
4.根据实施例2所述的方法,还包括:
使用对所述至少一个衬底的所述测量来更新所述指纹模型和/或所述演化模型;
分析经更新的指纹模型和/或经更新的演化模型,并使用所述分析来产生针对所述装置的经更新的采样方案。
5.根据实施例4所述的方法,还包括使用所述经更新的指纹模型和/或所述经更新的演化模型来调整所述装置的设定,以变更所述装置对所述处理参数的所述影响。
6.根据前述实施例中任一项所述的方法,其中获得所述指纹模型包括基于至少一个衬底的与所述处理参数相关的测量和/或指纹数据来产生所述指纹模型,所述至少一个衬底已由所述装置和/或至少一个另外的装置处理。
7.根据前述实施例中任一项所述的方法,其中获得所述处理参数的所述演化模型包括通过比较第一时间实例时的测量和/或指纹数据与第二时间实例时的测量和/或指纹数据来产生所述演化模型,所述测量和/或指纹数据与所述处理参数相关。
8.根据前述实施例中任一项所述的方法,其中所述采样方案考虑至少一个额外的因素,所述至少一个额外的因素可选地包括对所述装置执行维护的预期时间尺度、与用以处理所述衬底的所述装置相关的情境数据,和/或与所述装置的状态相关的测量数据。
9.根据前述实施例中任一项所述的方法,其中针对所述装置获得与多个处理参数相关的多个指纹模型和演化模型,并且其中针对所述多个处理参数中的不同的处理参数来确定单独的采样控制方案。
10.根据前述实施例中任一项所述的方法,其中通过从由所述装置和另外的装置处理的衬底上的所述处理参数的测量而获得的指纹减去与所述另外的装置相关联的指纹,来导出所述指纹模型。
11.根据前述实施例中任一项所述的方法,其中通过使用获得处理参数数据和使用数据的方法来确定所述装置对处理参数的指纹的贡献、和使用所述使用数据和处理参数数据来确定所述贡献,来导出所述指纹模型,其中所述处理参数数据基于针对已由多个装置处理的多个衬底的测量,并且所述使用数据指示所述多个装置中的哪些装置用于每个衬底的所述处理。
12.根据前述实施例中任一项所述的方法,其中所述指纹模型包括至少两个指纹子模型,每个指纹子模型与跨越所述衬底的变化的不同的空间尺度相关联。
13.根据实施例12所述的方法,其中所述采样方案的产生基于所述指纹子模型中的至少一个指纹子模型。
14.根据实施例12或13所述的方法,其中所述演化模型包括至少两个演化子模型,每个演化子模型与特定的指纹子模型相关联。
15.根据实施例14所述的方法,其中所述采样方案的产生基于所述演化子模型中的至少一个演化子模型。
16.根据前述实施例中任一项所述的方法,其中动态地更新所述演化模型。
17.根据实施例16所述的方法,其中基于机器学习更新所述指纹模型和/或演化模型。
18.根据前述实施例中任一项所述的方法,其中动态地更新所述指纹模型。
19.根据实施例18所述的方法,其中基于机器学习更新所述指纹模型。
20.一种包括计算机可读指令的计算机程序,所述计算机可读指令在合适的计算机设备上执行时使所述计算机设备执行根据实施例1至19中任一项所述的方法。
21.一种包括被配置成执行实施例1至19中任一项中所披露的方法的步骤的处理器的系统。
22.一种确定针对被配置成处理和/或测量至少一个衬底的装置的控制方案的方法,所述方法包括:
获得指纹模型和演化模型,其中所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据,并且所述演化模型表示所述指纹数据随时间的变化;
分析所述指纹模型和所述演化模型;以及
a)使用所述分析来产生所述装置的采样控制方案,其中所述采样控制方案提供待在何处和何时对衬底进行测量的指示;和/或
b)使用所述分析来产生所述装置的处理控制方案,其中所述处理控制方案提供如何控制对所述衬底的所述处理的指示。
23.根据实施例22所述的方法,还包括处理至少一个衬底和根据所述采样控制方案对所述至少一个衬底进行测量,所述测量与所述至少一个衬底的所述处理参数相关。
24.根据实施例23所述的方法,还包括使用所述至少一个衬底的所述测量来调整所述装置的设定,以变更所述装置对所述处理参数的影响。
25.根据实施例23所述的方法,还包括:
使用对所述至少一个衬底的所述测量来更新所述指纹模型和/或所述演化模型;
分析所述经更新的指纹模型和/或所述经更新的演化模型,并使用所述分析来产生针对所述装置的经更新的采样控制方案和/或处理控制方案。
26.根据实施例25所述的方法,还包括使用所述经更新的指纹模型和/或所述经更新的演化模型来调整所述装置的设定,以变更所述装置对所述处理参数的所述影响。
27.根据实施例22至26中任一项所述的方法,其中所述采样控制方案和/或处理控制方案考虑至少一个额外的因素,所述至少一个额外的因素可选地包括对所述装置执行维护的预期时间尺度、与用以处理所述衬底的所述装置相关的情境数据,和/或与所述装置的状态相关的测量数据。
28.根据实施例22至27中任一项所述的方法,其中针对所述装置获得与多个处理参数相关的多个指纹模型和演化模型,并且其中针对所述多个处理参数中的不同的处理参数来确定单独的采样控制方案和/或处理控制方案。
29.根据实施例22至28中任一项所述的方法,其中如何控制所述衬底的所述处理的指示包括针对所述衬底的位置控制的优选设定和/或针对所述装置的透镜操纵器的优选设定、针对控制所述衬底的形状的优选设定,和/或所述指示包括用于调整用以处理所述衬底的至少一个装置的信息,优选地,用以调整用以处理所述衬底的光刻设备中的透镜的信息。
30.根据实施例22至29中任一项所述的方法,还包括使用所述指示来控制所述衬底的处理。
31.根据实施例22至30中任一项所述的方法,其中所述指纹模型包括至少两个指纹子模型,每个指纹子模型与跨越所述衬底上的不同空间尺度的变化相关联。
32.根据实施例31所述的方法,其中所述采样控制方案和/或所述处理控制方案的所述产生基于所述指纹子模型中的至少一个指纹子模型。
33.根据实施例31或32所述的方法,其中所述演化模型包括至少两个演化子模型,每个演化子模型与特定的指纹子模型相关联。
34.根据实施例33所述的方法,其中所述采样控制方案和/或所述处理控制方案的所述产生基于所述演化子模型中的至少一个演化子模型。
35.根据实施例34所述的方法,其中基于机器学习动态地更新所述指纹模型和/或演化模型。
36.根据实施例22至35中任一项所述的方法,还包括分解所述指纹数据以产生至少一个分量数据的集合的步骤,其中所述指纹模型包括所述至少一个分量数据的集合。
37.根据实施例36所述的方法,其中所述演化模型基于所述至少一个分量数据的集合随时间的变化。
38.根据实施例37所述的方法,还包括:
变换所述至少一个分量数据的集合的所述演化模型;
识别针对所述经变换的演化模型的主频率;以及
确定所述至少一个分量数据的集合的相干时间,其中所述相干时间与所述主频率成反比。
39.根据实施例38所述的方法,其中使用所述至少一个分量数据的集合的所述相干时间来产生所述采样控制方案和/或所述处理控制方案。
40.根据实施例38或39所述的方法,其中比较所述至少一个分量数据的集合的所述主频率和/或相干时间与用以对衬底进行处理的多个装置的已知的处理参数信息,并且通过比较所述处理参数信息与所述主频率和/或相干时间,能够确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献。
41.根据实施例22至40中任一项所述的方法,还包括针对由多个装置处理的衬底使用所述分析和所述多个装置的已知的处理参数信息来确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献的步骤。
42.一种针对由多个装置处理的衬底来确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献的方法,所述方法包括:
获得指纹模型和演化模型,其中所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据,并且所述演化模型表示所述指纹数据随时间的变化;
分析所述指纹模型和所述演化模型;以及
针对由多个装置处理的衬底,使用所述分析和所述多个装置的已知的处理参数信息来确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献。
43.根据实施例42所述的方法,其中所述指纹模型包括至少两个指纹子模型,每个指纹子模型与跨越所述衬底上的不同空间尺度的变化相关联。
44.根据实施例43所述的方法,其中所述确定所述多个装置中的哪个装置对指纹做出贡献基于所述指纹子模型中的至少一个指纹子模型。
45.根据实施例43或44所述的方法,其中所述演化模型包括至少两个演化子模型,每个演化子模型与特定的指纹子模型相关联。
46.根据实施例45所述的方法,其中所述确定所述多个装置中的哪个装置对指纹做出贡献基于所述演化子模型中的至少一个演化子模型。
47.根据实施例46所述的方法,其中基于机器学习动态地更新所述指纹模型和/或演化模型。
48.根据实施例42至47中任一项所述的方法,还包括分解所述指纹数据以产生至少一个分量数据的集合的步骤,其中所述指纹模型包括所述至少一个分量数据的集合。
49.根据实施例48所述的方法,其中所述演化模型基于所述至少一个分量数据的集合随时间的变化。
50.根据实施例49所述的方法,还包括:
变换所述至少一个分量数据的集合的所述演化模型;
识别针对所述经变换的演化模型的主频率;以及
确定所述至少一个分量数据的集合的相干时间,其中所述相干时间与所述主频率成反比。
51.根据实施例50所述的方法,其中使用所述至少一个分量数据的集合的所述相干时间来产生所述采样控制方案和/或所述处理控制方案。
52.根据实施例50或51所述的方法,其中比较所述至少一个分量数据的集合的所述主频率和/或相干时间与用以对衬底进行处理的多个装置的已知的处理参数信息,并且通过比较所述处理参数信息与所述主频率和/或相干时间,能够确定所述多个装置中的哪个装置对该衬底的处理参数的指纹做出贡献。
53.根据实施例42至52中任一项所述的方法,其中对于来自所述多个装置的被确定为已对所述衬底的处理参数的指纹做出贡献的所述装置,所述方法还包括基于所确定的贡献来调整针对所述装置的设定。
54.根据实施例22至53中任一项所述的方法,其中获得所述处理参数的所述演化模型包括通过比较第一时间实例时的测量结果和/或指纹数据与第二时间实例时的测量结果和/或指纹数据来产生所述演化模型,所述测量和/或指纹数据与所述处理参数相关。
55.根据实施例22至54中任一项所述的方法,其中通过从由所述装置和另外的装置处理的衬底上的所述处理参数的测量结果所获得的指纹减去与所述另外的装置相关联的指纹,来导出所述指纹模型。
56.根据实施例22至55中任一项所述的方法,其中通过使用获得处理参数数据和使用数据的方法来确定所述装置对处理参数的指纹的贡献、和使用所述使用数据和处理参数数据来确定所述贡献,来导出所述指纹模型,其中所述处理参数数据基于针对已由多个装置处理的多个衬底的测量,并且所述使用数据指示所述多个装置的哪些装置用于每个衬底的所述处理。
57.一种包括计算机可读指令的计算机程序,所述计算机可读指令在合适的计算机控制系统上执行时使所述计算机控制系统实施根据实施例1至56中任一项所述的方法。
58.一种包括被配置成实施在实施例1至56中任一项中所披露的方法的步骤的处理器的系统。
59.根据实施例1所述的方法,其中采样方案包括多个特定于量测系统的采样方案,所述多个量测系统特定采样方案指示待在何处和何时由多个量测系统中的每个量测系统来对由所述装置处理的衬底进行测量。
60.根据实施例59所述的方法,其中特定于第一量测系统的采样方案提供下述指示:不需要由第一量测系统的测量,或仅需要基于从所述指纹模型和所述演化模型导出的指纹的预期稳定性,以非常低的时间频率执行由所述第一量测系统进行的所述测量。
61.根据实施例59或60所述的方法,其中基于以下各项的预期相关性来共同优化特定于第一量测系统的采样方案和特定于第二量测系统的采样方案:a)与所述第一量测系统相关联的测量结果,和b)与第二量测系统相关联的测量结果。
62.根据实施例61所述的方法,其中针对被施加至由所述装置处理的所述衬底的每层执行所述共同优化。
63.根据实施例60所述的方法,其中针对被施加至由所述装置处理的所述衬底的每层提供所述指示。
64.根据实施例59至63中任一项所述的方法,其中所述多个量测系统包括至少对准传感器和水平传感器。
65.根据实施例61所述的方法,其中所述第一量测系统是对准传感器,并且所述第二量测系统是水平传感器。

Claims (22)

1.一种确定针对被配置成处理和/或测量至少一个衬底的装置的控制方案的方法,所述方法包括:
获得指纹模型和演化模型,其中所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据,并且所述演化模型表示所述指纹数据随时间的变化;
分析所述指纹模型和所述演化模型;以及
a)使用所述分析来产生针对所述装置的采样控制方案,其中所述采样控制方案提供待在何处和何时对衬底进行测量的指示;和/或
b)使用所述分析来产生针对所述装置的处理控制方案,其中所述处理控制方案提供如何控制对所述衬底的所述处理的指示。
2.根据权利要求1所述的方法,还包括处理至少一个衬底和根据所述采样控制方案对所述至少一个衬底进行测量,所述测量与所述至少一个衬底的所述处理参数相关。
3.根据权利要求2所述的方法,还包括:
使用对所述至少一个衬底的所述测量来更新所述指纹模型和/或所述演化模型;
分析经更新的指纹模型和/或经更新的演化模型,并且使用所述分析来产生针对所述装置的经更新的采样控制方案和/或处理控制方案。
4.根据权利要求1所述的方法,其中所述采样控制方案和/或处理控制方案考虑至少一个额外的因素,所述至少一个额外的因素包括用于对所述装置执行维护的预期时间尺度、与用以处理所述衬底的所述装置相关的情境数据、与所述装置的状态相关的测量数据、和/或由不同的量测工具进行的测量之间的已知关系。
5.根据权利要求1所述的方法,其中针对所述装置获得与多个处理参数相关的多个指纹模型和演化模型,并且其中针对所述多个处理参数中的不同处理参数来确定单独的采样控制方案和/或处理控制方案。
6.根据权利要求1所述的方法,其中所述指纹模型包括至少两个指纹子模型,每个指纹子模型与跨越所述衬底上的不同空间尺度的变化相关联。
7.根据权利要求6所述的方法,其中所述演化模型包括至少两个演化子模型,每个演化子模型与特定的指纹子模型相关联。
8.根据权利要求6所述的方法,其中所述采样控制方案和/或所述处理控制方案的产生是基于所述指纹子模型中的至少一个指纹子模型和/或所述演化子模型中的至少一个演化子模型。
9.根据权利要求1所述的方法,还包括分解所述指纹数据以产生至少一个分量数据的集合的步骤,其中所述指纹模型包括所述至少一个分量数据的集合,并且其中所述演化模型基于所述至少一个分量数据的集合随时间的变化。
10.根据权利要求9所述的方法,还包括:
变换所述至少一个分量数据的集合的所述演化模型;
识别针对经变换的演化模型的主频率;以及
确定所述至少一个分量数据的集合的相干时间,其中所述相干时间与所述主频率成反比。
11.根据权利要求10所述的方法,其中使用所述至少一个分量数据的集合的所述相干时间来产生所述采样控制方案和/或所述处理控制方案。
12.根据权利要求10所述的方法,其中比较所述至少一个分量数据的集合的所述主频率和/或相干时间与针对用以对衬底进行处理的多个装置的已知的处理参数信息,并且通过比较所述处理参数信息与所述主频率和/或相干时间,能够确定所述多个装置中的哪个装置对于针对所述衬底的处理参数的指纹做出贡献。
13.根据权利要求1所述的方法,其中所述采样方案包括多个特定于测量系统的采样方案,所述多个特定于测量系统的采样方案指示待在何处和何时由多个测量系统中的每个测量系统来对由所述装置处理的衬底进行测量。
14.根据权利要求13所述的方法,其中特定于第一量测系统的采样方案提供下述指示:不需要由第一量测系统的测量,或仅需要基于从所述指纹模型和所述演化模型所导出的指纹的预期稳定性来以非常低的时间频率执行由所述第一量测系统进行的所述测量。
15.根据权利要求13所述的方法,其中基于以下各项的预期相关性来共同优化特定于第一量测系统的采样方案和特定于第二量测系统的采样方案:a)与第一量测系统相关联的测量,和b)与第二量测系统相关联的测量。
16.根据权利要求15所述的方法,其中针对被施加至由所述装置处理的所述衬底的每层执行所述共同优化。
17.根据权利要求14所述的方法,其中针对被施加至由所述装置处理的所述衬底的每层提供所述指示。
18.根据权利要求13所述的方法,其中所述多个测量系统包括至少对准传感器和水平传感器。
19.根据权利要求15所述的方法,其中所述第一量测系统是对准传感器,并且所述第二量测系统是水平传感器。
20.一种针对由多个装置处理的衬底来确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献的方法,所述方法包括:
获得指纹模型和演化模型,其中所述指纹模型基于针对由装置处理的至少一个衬底的处理参数的指纹数据,并且所述演化模型表示所述指纹数据随时间的变化;
分析所述指纹模型和所述演化模型;以及
针对由多个装置处理的衬底,使用所述分析和所述多个装置的已知的处理参数信息来确定所述多个装置中的哪个装置对所述衬底的处理参数的指纹做出贡献。
21.一种包括计算机可读指令的计算机程序,所述计算机可读指令在合适的计算机控制系统上执行时使所述计算机控制系统实施根据权利要求1所述的方法。
22.一种包括被配置成实施权利要求1中所披露的方法的步骤的处理器的系统。
CN201980038187.5A 2018-06-07 2019-05-20 使用指纹和演化分析的方法 Active CN112272796B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP18176544.7A EP3579051A1 (en) 2018-06-07 2018-06-07 Generation of sampling scheme
EP18176544.7 2018-06-07
EP18191756.8 2018-08-30
EP18191756 2018-08-30
EP18204781 2018-11-07
EP18204781.1 2018-11-07
PCT/EP2019/062903 WO2019233743A1 (en) 2018-06-07 2019-05-20 Methods using fingerprint and evolution analysis

Publications (2)

Publication Number Publication Date
CN112272796A true CN112272796A (zh) 2021-01-26
CN112272796B CN112272796B (zh) 2023-09-29

Family

ID=66554425

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980038187.5A Active CN112272796B (zh) 2018-06-07 2019-05-20 使用指纹和演化分析的方法

Country Status (5)

Country Link
US (1) US11281110B2 (zh)
KR (1) KR102528755B1 (zh)
CN (1) CN112272796B (zh)
TW (1) TWI729334B (zh)
WO (1) WO2019233743A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112055308B (zh) * 2020-08-21 2024-02-27 中通服咨询设计研究院有限公司 一种多层级高鲁棒指纹定位方法
CN117157712A (zh) * 2021-03-30 2023-12-01 Gsi 科技公司 具有关联处理单元的基于n元组的分类
JPWO2022230788A1 (zh) * 2021-04-28 2022-11-03
US11868119B2 (en) * 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection
EP4254068A1 (en) * 2022-03-28 2023-10-04 ASML Netherlands B.V. Method for determining a spatial distribution of a parameter of interest over at least one substrate or portion thereof
CN115477476A (zh) * 2022-08-11 2022-12-16 上海延目光电技术有限公司 一种基于离子束修形的连续相位板制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105765461A (zh) * 2013-10-02 2016-07-13 Asml荷兰有限公司 用于获得与工业过程有关的诊断信息的方法和设备
US20170242425A1 (en) * 2016-02-22 2017-08-24 Qoniac Gmbh Method of manufacturing semiconductor devices by using sampling plans
WO2017194289A1 (en) * 2016-05-12 2017-11-16 Asml Netherlands B.V. Method of obtaining measurements, apparatus for performing a process step and metrology apparatus
WO2018024446A1 (en) * 2016-08-01 2018-02-08 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6650955B1 (en) 2001-12-18 2003-11-18 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment fingerprinting
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
NL2003919A (en) 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
CN102540780B (zh) * 2010-12-28 2015-09-30 上海微电子装备有限公司 用于光刻设备的对准信号处理系统及对准信号处理方法
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
NL2011276A (en) * 2012-09-06 2014-03-10 Asml Netherlands Bv Inspection method and apparatus and lithographic processing cell.
CN105190446B (zh) 2013-05-07 2017-02-08 Asml荷兰有限公司 对准传感器、光刻设备和对准方法
NL2013677A (en) 2014-01-24 2015-07-29 Asml Netherlands Bv Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program.
US10509329B2 (en) * 2014-09-03 2019-12-17 Kla-Tencor Corporation Breakdown analysis of geometry induced overlay and utilization of breakdown analysis for improved overlay control
CN107438795A (zh) 2015-04-10 2017-12-05 Asml荷兰有限公司 用于检查和量测的方法和设备
NL2016925A (en) * 2015-06-18 2016-12-22 Asml Netherlands Bv Method of metrology, inspection apparatus, lithographic system and device manufacturing method
KR20180115299A (ko) 2016-02-22 2018-10-22 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
CN109478021B (zh) 2016-07-11 2021-01-01 Asml荷兰有限公司 用于确定性能参数的指纹的方法和设备

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105765461A (zh) * 2013-10-02 2016-07-13 Asml荷兰有限公司 用于获得与工业过程有关的诊断信息的方法和设备
US20170242425A1 (en) * 2016-02-22 2017-08-24 Qoniac Gmbh Method of manufacturing semiconductor devices by using sampling plans
WO2017194289A1 (en) * 2016-05-12 2017-11-16 Asml Netherlands B.V. Method of obtaining measurements, apparatus for performing a process step and metrology apparatus
WO2018024446A1 (en) * 2016-08-01 2018-02-08 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system

Also Published As

Publication number Publication date
US11281110B2 (en) 2022-03-22
WO2019233743A1 (en) 2019-12-12
TW202001409A (zh) 2020-01-01
KR20210010917A (ko) 2021-01-28
CN112272796B (zh) 2023-09-29
US20210255547A1 (en) 2021-08-19
TWI729334B (zh) 2021-06-01
KR102528755B1 (ko) 2023-05-03

Similar Documents

Publication Publication Date Title
US11385550B2 (en) Methods and apparatus for obtaining diagnostic information relating to an industrial process
US11520238B2 (en) Optimizing an apparatus for multi-stage processing of product units
CN112272796B (zh) 使用指纹和演化分析的方法
CN110546574B (zh) 维护工艺指印集合
US10539882B2 (en) Methods and apparatus for obtaining diagnostic information, methods and apparatus for controlling an industrial process
KR102373843B1 (ko) 지문에 대한 기여도를 결정하기 위한 방법
EP3579051A1 (en) Generation of sampling scheme

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant