CN112189255A - 用于集成的端到端自对准多重图案化工艺的操作平台和方法 - Google Patents

用于集成的端到端自对准多重图案化工艺的操作平台和方法 Download PDF

Info

Publication number
CN112189255A
CN112189255A CN201980032362.XA CN201980032362A CN112189255A CN 112189255 A CN112189255 A CN 112189255A CN 201980032362 A CN201980032362 A CN 201980032362A CN 112189255 A CN112189255 A CN 112189255A
Authority
CN
China
Prior art keywords
workpiece
module
modules
film
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980032362.XA
Other languages
English (en)
Other versions
CN112189255B (zh
Inventor
罗伯特·克拉克
理查德·法雷尔
坎达巴拉·塔皮利
安热利克·雷利
索菲·蒂博
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN112189255A publication Critical patent/CN112189255A/zh
Application granted granted Critical
Publication of CN112189255B publication Critical patent/CN112189255B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)

Abstract

提供了一种用于使用在公共生产平台上执行的集成的加工步骤序列来在半导体工件上进行自对准多重图案化的方法,该公共生产平台托管成膜模块、刻蚀模块和搬送模块。将其上形成有心轴图案的工件接收到公共生产平台中。至少部分地基于心轴图案形成侧壁间隔物图案,该侧壁间隔物图案具有分隔开第二间距距离的多个第二特征,其中,第一间距距离大于该第二间距距离。集成的加工步骤序列是在不离开受控环境的情况下在公共生产平台内执行的,并且搬送模块用于在使该工件维持在受控环境内的同时在加工模块之间搬送工件。广义上讲,是使用选择性/保形沉积、刻蚀或注入技术来在公共生产平台上形成侧壁间隔物图案。

Description

用于集成的端到端自对准多重图案化工艺的操作平台和方法
相关申请的交叉引用
本申请要求于2018年3月20日提交的名称为“Substrate Processing Tool withIntegrated Metrology and Method of Using[利用集成计量的衬底加工工具及其使用方法]”的美国临时申请号62/645,685、于2018年12月21日提交的名称为“Platform andMethod for Operating for Integrated End-to-End Self Aligned MultiplePatterning Process[用于集成的端到端自对准多重图案化工艺的操作平台和方法]”的美国临时申请号62/784,151、于2019年1月2日提交的名称为“Self-Aware and CorrectingHeterogeneous Platform incorporating Integrated Semiconductor ProcessingModules and Method for using same[结合有集成半导体加工模块的自感知校正异构平台及其使用方法]”的美国临时申请号62/787,607、于2019年1月2日提交的名称为“Self-Aware and Correcting Heterogeneous Platform incorporating IntegratedSemiconductor Processing Modules and Method for using same[结合有集成半导体加工模块的自感知校正异构平台及其使用方法]”的美国临时申请号62/787,608、以及于2019年1月4日提交的名称为“Substrate Processing Tool with Integrated Metrology andMethod of using[利用集成计量的衬底加工工具及其使用方法]”的美国临时申请号62/788,195的权益,这些申请通过援引以其全部内容并入本文。
背景技术
技术领域
本发明涉及一种加工平台以及使用该平台进行半导体加工的方法,并且更具体地涉及一种用于自对准多重图案化(SAMP)的方法。
相关技术的说明
SAMP技术已经用于鳍型场效应晶体管(FinFET)器件等部件的形成。尺寸缩减是在集成电路加工的发展中的驱动力之一。通过减小大小尺寸,可以获得成本效益和器件性能上的提高。这种可伸缩性在过程流程中、尤其是在图案化技术方面造成不可避免的复杂性。随着制造较小的晶体管,图案化特征的临界尺寸(CD)或分辨率变得越来越难以生产、特别是大批量生产。需要自对准图案化来替换套刻驱动(overlay-driven)的图案化,以便可以继续进行具有成本效益的缩放。在大批量制造环境中,需要能够减少不确定性、扩展缩放比例以及增强CD和过程控制的图案化选项;然而,以合理的低成本和高良品率生产缩放的器件已经变得极其困难。
常规的SAMP流程具有几个步骤,包括心轴(或芯)形成、间隔物沉积、间隔物刻蚀和心轴移除。在这种方法中,最终特征的临界尺寸(CD)受工件属性控制,这些工件属性包括间隔物沉积厚度和间隔物物理特征(诸如线边缘粗糙度(LER)和线宽粗糙度(LWR))。另外,间隔物刻蚀经常造成最终间隔物轮廓(诸如间隔物刻面)的变形以及CD损失。保持间隔物轮廓和CD是重要的,因为间隔物轮廓对间距飘移(pitch-walking)效应、掩模预算和最终结构上的CD目标有显著影响。加工技术的其他问题包括由于不均匀刻蚀而造成的间隔物高度损失以及芯材与间隔物材料之间缺乏选择性。另外,间隔物材料的不充分刻蚀可能会导致间隔物占用面积差异、芯到间隔物台阶高度差异等。这种生产缺陷可能会引起进一步的器件缺陷、降低产品生产率、限制生产器件的规模等。在SAMP过程流程中存在多个操作的情况下,时间工具漂移是一个问题,尤其是边缘放置误差(EPE)可能会超过可允许水平。EPE是预期设计与实际结果之间的差异,并且被定义为诱发屏蔽掩模的放置误差和过程偏移的变化之和。EPE由数值表示,并且为给定的过程流程定义目标EPE值。简言之,EPE等于各种度量——CD均匀性、套刻误差、线边缘粗糙度(LER)和变化——的组合。
随着器件被缩放到越来越小的特征并且实施多种技术来尝试解决由缩放引起的问题,重要的是在过程流程的各个阶段监测制造过程,以确定特征属性是否在规范内,并且若否,则调整过程以使工件在规范内或使后续加工的工件在规范内。
在常规的SAMP中,为进行大批量生产,使用多个单独的独立工具来执行该过程。将晶圆依次装载到一个工具中,在该工具中对晶圆进行一个加工步骤,然后将晶圆移动到周围环境并按照队列放置以装载到下一个工具中,依此类推,直到完成SAMP流程的多个步骤为止。排队等待每个工具所花费的时间被称为Q时间,并且高Q时间会导致生产率较低。过程流程中的不同操作可能花费不同的时间量,这使得工具的产量匹配成为一项生产挑战。
过程流程中的每个工具都可以是工具集群的一部分。例如,可以将五个相同的刻蚀工具与一个搬送工具组合地集群在一起,使得可以在过程流程的一个步骤中同时刻蚀5个晶圆,以实现大批量生产。如果某个工具由于任何原因而停止运行,这些集群工具的多重性就提供了优势。如果具有5个工具的集群中有1个工具停止运行1周,则尽管仅有80%的产能,但生产仍可以继续。因此,SAMP流程中的每个独立工具都可以是具有相同工具的集群,以防止由于一个工具停止运行而造成生产完全停止,并且可以使用集群来使产量匹配挑战最小化。
在常规的SAMP中,如果需要进行测量来确定过程是否正在规范内操作,则可以包括独立计量工具,其中,定期从过程流程移除工件以进行测量(这些测量通常是使用工件上的测量垫进行的破坏性测量),并且然后可以将结果反馈到过程流程工具,以对过程流程中的下游步骤进行调整或针对未来晶圆对上游步骤进行调整。该过程涉及暴露在周围环境中、等待计量工具可用的Q时间和要获得结果的漫长测量时间,这使得在获得数据以便能够以反馈方式或前馈方式对过程流程进行调整之前可能过去了大量时间。尽管在加工室中对工件属性进行实时测量将是理想的,但是将测量器件暴露于加工气体下是有问题的,这使得实时原地测量和控制在逻辑上变得困难或不可能。
因此,使用多个单独的独立工具(单个或集群的)进行大批量生产的常规方法可能会导致一些问题,包括但不限于Q时间氧化(即,当晶圆停留在工具之间等待转到下一个工具时,这些晶圆可能会由于周围的环境而发生氧化)、由于工具之间的环境暴露而引起的缺陷率、由于产量匹配困难而造成的成本挑战、时间工具漂移(例如,EPE)、实时腔室匹配(例如,良品率和EPE)以及缺乏实时工件测量和过程控制。需要解决这些问题和其他问题,以使用SAMP技术实现大批量生产。
发明内容
根据实施例,提供了一种使用在公共生产平台上执行的集成的加工步骤序列来在半导体工件上进行自对准多重图案化的方法,该公共生产平台托管多个加工模块,该多个加工模块包括一个或多个成膜模块、一个或多个刻蚀模块和一个或多个搬送模块。在一个实施例中,该集成的加工步骤序列包括:将工件接收到该公共生产平台中,该工件具有形成在其上的心轴图案,该心轴图案包括分隔开第一间距距离的多个特征;以及使用该一个或多个成膜模块和该一个或多个刻蚀模块、至少部分地基于该心轴图案来形成侧壁间隔物图案,该侧壁间隔物图案包括分隔开第二间距距离的多个第二特征,其中,该第一间距距离大于该第二间距距离。该集成的加工步骤序列是在该公共生产平台内的受控环境中且不离开该受控环境的情况下执行的,并且该一个或多个搬送模块用于在使该工件维持在该受控环境内的同时在该多个加工模块之间搬送该工件。
在其中将工件(该工件具有形成在其上的心轴图案,该心轴图案包括多条心轴线)接收到该公共生产平台中的另一实施例中,该集成的加工步骤序列进一步包括:使用托管在该公共生产平台上的第一成膜模块在该心轴图案上保形地施加第一薄膜;以及在不破坏真空的情况下,使用托管在该公共生产平台上的第一刻蚀模块从该心轴图案的上表面和与该心轴图案相邻的下表面上去除该第一薄膜,以留下该心轴图案的侧壁上的第一薄膜,从而形成第一侧壁间隔物。然后,在不破坏真空的情况下,使用托管在该公共生产平台上的第二刻蚀模块从该工件上去除该心轴图案,以留下这些第一侧壁间隔物,从而形成包括多个特征的新特征图案,这些特征的数量是这些心轴线数量的两倍。该一个或多个搬送模块用于在不破坏真空的情况下在该第一成膜模块、该第一刻蚀模块和该第二刻蚀模块之间搬送该工件。
在相关实施例中,使用该新特征图案作为另一心轴图案来继续进行该方法。在继续进行的方法中,在不破坏真空的情况下,使用托管在该公共生产平台上的第二成膜模块在该新特征图案上保形地施加第二薄膜。继续进行的方法进一步包括:在不破坏真空的情况下,使用托管在该公共生产平台上的第三刻蚀模块从该新特征图案的上表面和与该新特征图案相邻的下表面上去除该第二薄膜,以留下该新特征图案的侧壁上的第二薄膜,从而形成第二侧壁间隔物;以及在不破坏真空的情况下,使用托管在该公共生产平台上的第四刻蚀模块从该工件上去除该第二心轴图案,以留下这些第二侧壁间隔物,这些第二侧壁间隔物的数量是这些心轴线数量的四倍。
在其中将工件(该工件具有形成在其上的心轴图案,该心轴图案包括多条心轴线)接收到该公共生产平台中的另一实施例中,该集成的加工步骤序列进一步包括:使用托管在该公共生产平台上的第一成膜模块在该心轴图案上保形地施加第一薄膜;以及在不破坏真空的情况下,使用托管在该公共生产平台上的第一刻蚀模块从该心轴图案的上表面和与该心轴图案相邻的下表面上去除该第一薄膜,以留下该心轴图案的侧壁上的第一薄膜,从而形成第一侧壁间隔物。然后,在不破坏真空的情况下,在托管在该公共生产平台上的第二成膜模块中在这些第一侧壁间隔物和心轴图案上保形地施加第二薄膜,并且同样在不破坏真空的情况下,在托管在该公共生产平台上的第二刻蚀模块中从这些第一侧壁间隔物和心轴图案的上表面和与这些第一侧壁间隔物相邻的下表面上去除该第二薄膜,以留下这些第一侧壁间隔物的侧壁上的第二薄膜,从而形成第二侧壁间隔物。然后,在不破坏真空的情况下,使用托管在该公共生产平台上的第三刻蚀模块从该工件上去除这些第一侧壁间隔物,以留下这些第二侧壁间隔物和心轴图案,并且从而形成包括多个特征的新特征图案,这些特征的数量是这些心轴线数量的三倍。该一个或多个搬送模块用于在不破坏真空的情况下在该第一成膜模块、该第一刻蚀模块、该第二成膜模块、该第二刻蚀模块和该第三刻蚀模块之间搬送该工件。
在一个实施例中,该集成的加工步骤序列包括:将工件接收到该公共生产平台中,该工件具有形成在其上的心轴图案,该心轴图案包括分隔开第一间距距离的多个特征;以及使用该一个或多个成膜模块和该一个或多个刻蚀模块、至少部分地基于该心轴图案来形成侧壁间隔物图案,该侧壁间隔物图案包括分隔开第二间距距离的多个第二特征,其中,该第一间距距离大于该第二间距距离。该集成的加工步骤序列进一步包括:获得与该侧壁间隔物图案的形成相关的测量数据,该测量数据用于确定该侧壁间隔物图案的厚度、宽度或轮廓;以及当该侧壁间隔物图案的厚度、宽度或轮廓不满足该侧壁间隔物图案的目标厚度、目标宽度或目标轮廓时,通过以下操作来修复该侧壁间隔物图案:(i)将附加材料选择性地沉积到结构上、(ii)将附加材料保形地沉积到结构上、(iii)重塑结构、(iv)刻蚀结构、(v)将掺杂剂注入到结构中、(vi)去除并重新施加结构的材料层、或其中两项或更多项的任意组合。该集成的加工步骤序列是在该公共生产平台内的受控环境中且不离开该受控环境的情况下执行的,并且该一个或多个搬送模块用于在使该工件维持在该受控环境内的同时在该多个加工模块之间搬送该工件。
在相关实施例中,形成该侧壁间隔物图案包括:在该一个或多个成膜模块之一中在该心轴图案上保形地施加薄膜;在该一个或多个刻蚀模块之一中从该心轴图案的上表面和与该心轴图案相邻的下表面上去除该薄膜,以留下该心轴图案的侧壁上的薄膜,从而形成侧壁间隔物;以及在该一个或多个刻蚀模块之一中从该工件上去除该心轴图案,以留下这些侧壁间隔物,其中,这些侧壁间隔物形成特征数量是该去除的心轴图案的数倍的侧壁间隔物图案。
在另一相关实施例中,形成该侧壁间隔物图案包括:在该一个或多个成膜模块之一中在该心轴图案上保形地施加第一薄膜;在该一个或多个刻蚀模块之一中从该心轴图案的上表面和与该心轴图案相邻的下表面上去除该第一薄膜,以留下该心轴图案的侧壁上的第一薄膜,从而形成第一侧壁间隔物;在该一个或多个成膜模块之一中在这些第一侧壁间隔物和心轴图案上保形地施加第二薄膜;在该一个或多个刻蚀模块之一中从这些第一侧壁间隔物和心轴图案的上表面和与这些第一侧壁间隔物相邻的下表面上去除该第二薄膜,以留下这些第一侧壁间隔物的侧壁上的第二薄膜,从而形成第二侧壁间隔物;以及在该一个或多个刻蚀模块之一中从该工件上去除这些第一侧壁间隔物,以留下这些第二侧壁间隔物和心轴图案,从而形成特征数量是该去除的心轴图案的数倍的特征图案。
附图说明
结合在此说明书中并且构成其一部分的附图展示了本发明的实施例,并且连同以上给出的本发明的总体描述以及以下给出的详细描述来描述本发明。
图1A至图1E是展示了自对准双重图案化方法的一个实施例的示意性截面图。
图2A至图2D是展示了自对准四重图案化方法的一个实施例的示意性截面图。
图3是展示了用于自对准多重图案化的集成的过程流程的一个实施例的流程图。
图4是展示了用于执行集成的自对准多重图案化方法的公共生产平台的一个实施例的示意图。
图5是展示了用于执行集成的自对准多重图案化方法的公共生产平台的一个实施例的示意图。
图6A至图6G是展示了自对准三重图案化方法的一个实施例的示意性截面图。
图7是展示了用于自对准多重图案化的集成的过程流程的一个实施例的流程图。
图8是展示了用于执行集成的加工步骤序列的公共生产平台的一个实施例的示意图。
图9A是以俯视图展示了用于执行集成的加工步骤序列的公共生产平台的另一实施例的示意图,并且图9B是结合在图9A的公共生产平台中的测量模块的局部截面的侧视图。
图9C是以俯视图展示了用于执行集成的加工步骤序列的公共生产平台的另一实施例的示意图,并且图9D是结合在图9C的公共生产平台中的测量模块的局部截面的侧视图。
具体实施方式
提出了使用用于自对准多重图案化(SAMP)的集成平台的方法。然而,相关领域的技术人员将认识到,可以在没有特定细节中的一个或多个的情况下或者利用其他替代和/或附加方法、材料或部件来实践各种实施例。在其他情况下,没有详细示出或描述众所周知的结构、材料、或操作,以避免模糊本发明的各种实施例的方面。
类似地,出于解释的目的阐述了具体的数字、材料和配置,以便提供对本发明的透彻理解。然而,可以在没有具体细节的情况下实践本发明。此外,应该理解,附图中所示的各种实施例是说明性表示,并且不一定是按比例绘制的。在参考附图时,相同的附图标记始终指代相同的部分。
贯穿本说明书对“一个实施例”或“实施例”或其变体的提及意味着与实施例相结合描述的特定特征、结构、材料或特性包括在本发明的至少一个实施例中,但是不表示其存在于每个实施例中。因此,可能贯穿本说明书各处出现的诸如“在一个实施例中”或“在实施例中”的短语不一定指本发明的同一实施例。此外,在一个或多个实施例中,可以以任何合适的方式来组合特定特征、结构、材料或特性。在其他实施例中,可以包括各种附加的层和/或结构,和/或可以省略所描述的特征。
另外,应该理解,除非另外明确声明,否则“一个”或“一种”可以意指“一个或多个”。
将以最有助于理解本发明的方式将各种操作依次描述为多个分立的操作。然而,描述的顺序不应该解释为意味着这些操作是必须依赖于顺序的。特别地,这些操作无需按照呈现的顺序执行。可以以与所描述的实施例不同的顺序来执行所描述的操作。在附加实施例中,可以执行各种附加操作和/或可以省略所描述的操作。
如本文所使用的,术语“衬底”意指并且包括在其上形成材料的基础材料或构造。应该理解,衬底可以包括单一材料、不同材料的多个层、其中具有不同材料区域或不同结构区域的一个或多个层等。这些材料可以包括半导体、绝缘体、导体或其组合。例如,衬底可以是半导体衬底,在支撑结构上的基础半导体层,在其上形成有一个或多个层、结构或区域的金属电极或半导体衬底。衬底可以是常规的硅衬底或包括半导体材料层的其他块状衬底。如本文所使用的,术语“块状衬底”是指硅晶圆,并且不仅包括硅晶圆,还包括绝缘体上硅(“SOI”)衬底(诸如蓝宝石上硅(“SOS”)衬底和玻璃上硅(“SOG”)衬底)、基础半导体基底上的硅外延层、以及其他半导体或光电材料(诸如硅锗、锗、砷化镓、氮化镓和磷化铟)。衬底可以是掺杂的或非掺杂的。
如本文所使用的,术语“工件”是指在半导体器件生产过程的一个或多个阶段期间在衬底上形成的材料或层的合成物,该工件最终在加工的末级包括半导体器件。
本实施例包括利用公共生产平台的SAMP的方法,其中在受控环境内(例如,在操作之间不破坏真空的情况下)在公共平台上执行多个加工步骤。集成端到端平台包括刻蚀模块和成膜模块两者,并且被配置为在使工件维持在受控环境中的同时(例如,在不破坏真空或不离开公共生产平台外部的惰性气体保护环境的情况下)将工件从一个模块搬送到另一模块,并且因此避免暴露在周围环境中。可以在公共生产平台上执行任何SAMP工艺,并且集成端到端平台将以降低的成本实现大批量生产,并改进良品率、缺陷率水平和EPE。如本文所使用的,SAMP工艺包括用于使工件上的特征的间距减小(这也可以被称为使间距密度增大)的任何间隔物图案化技术或侧壁图像转移技术。通过举例但非限制的方式,SAMP工艺包括:自对准双重图案化、自对准三重图案化、自对准四重图案化、自对准八重图案化等;多色交替材料;自阻挡和切割;多色图案化掩模层等。如本文所使用的,“间距”或“间距距离”是图案的两个相邻特征中的两个相同点之间的距离。可以使具有初始数量的、分隔开第一间距距离的特征的图案倍增,以增加特征的数量,并且从而减小间距距离或间距。例如,在四重图案化工艺中,使特征的数量(即,间距密度)变为四倍,并且将间距或间距距离减小4倍(即,初始间距的1/4)。尽管这可能被误称为间距倍增,但更准确地说是间距减小或间距密度倍增
如本文所使用的,“成膜模块”是指用于在加工室内的工件上沉积或生长膜或层的任何类型的加工工具。成膜模块可以是单晶圆工具、批量加工工具或半批量加工工具。通过举例但非限制的方式,可以在成膜模块中执行的膜沉积或生长的类型包括:化学气相沉积、等离子体增强或等离子体辅助的化学气相沉积、原子层沉积、物理气相沉积、热氧化或氮化等,并且该过程可以是各向同性的、各向异性的、保形的、选择性的、毯式的等。
如本文所使用的,“刻蚀模块”是指用于去除加工室中的工件上的全部或部分膜、层、残留物或污染物的任何类型的加工工具。刻蚀模块可以是单晶圆工具、批量加工工具或半批量加工工具。通过举例但非限制的方式,可以在刻蚀模块中执行的刻蚀的类型包括:化学氧化物去除(COR)、干法(等离子体)刻蚀、反应离子刻蚀、使用浸入或非浸入技术的湿法刻蚀、原子层刻蚀、化学机械抛光、清洁、灰化、光刻等,并且该过程可以是各向同性的、各向异性的、选择性的等。
如本文所使用的,“模块”通常是指共同具有其全部硬件和软件两者的加工工具,包括加工室、衬底固持器和移动机构、气体供应和分配系统、泵送系统、电气系统和控制器等。模块的此类细节在本领域中是已知的,并且因此在此不讨论。
在其最广义上讲,本披露的实施例涉及在工件上执行的并且在公共生产平台上执行的集成的加工步骤序列,该公共生产平台托管多个加工模块,该多个加工模块包括一个或多个成膜模块、一个或多个刻蚀模块和一个或多个搬送模块。该集成的加工步骤序列包括:将工件接收到该公共生产平台中,该工件具有形成在其上的心轴图案,该心轴图案包括可以被称为心轴或心轴线的多个特征。这些特征分隔开初始间距距离。使用该一个或多个成膜模块和该一个或多个刻蚀模块、至少部分地基于该心轴图案来形成侧壁间隔物图案。该侧壁间隔物图案的特征数量是心轴图案的数倍,即,是间距密度的数倍。例如,侧壁间隔物图案可以使特征数量或间距密度为2倍、3倍、4倍、6倍、8倍等。侧壁间隔物图案的特征分隔开第二间距距离,其中第一间距距离大于第二间距距离,即间距减小。例如,第二间距距离可以是第一间距距离的一半、三分之一、四分之一、六分之一、八分之一等。该集成的加工步骤序列是在该公共生产平台内的受控环境中且不离开该受控环境的情况下执行的,并且该一个或多个搬送模块用于在使该工件维持在该受控环境内的同时在该多个加工模块之间搬送该工件。在最广义的实施方式中,受控环境包括衬底104暴露于其下的任何条件,只要不暴露于环境空气或不受公共生产平台控制或监测的条件(例如,温度、湿度)下即可。将衬底104暴露于环境空气或其他不受控条件下可以被称为破坏真空。在较窄的实施方式中,受控环境可以限于在亚大气压条件下将衬底104暴露于惰性气体(例如,N2、Ar)或使所暴露的衬底104表面的变化最小的任何气体。例如,在一些实例中,在公共生产平台上执行的集成的加工序列可以完全在亚大气压下进行,这些加工序列包括加工步骤、计量步骤和搬送步骤。然而,在其他实施例中,集成的加工序列可以包括在大气压加工压力或更高的压力下进行,以在公共生产平台内完成整个集成加工。在该实施方式中,在惰性气体环境内,受控环境可以包括更宽范围的压力(例如,亚大气压、大气压或更高气压),以限制或控制衬底104的变化。以此方式,如果集成的序列包括在大气压加工条件、或更高大气压加工条件和亚大气压加工条件下进行,则在受控环境内会发生不同压力之间的转变。
现在参考附图,其中,相同的附图标记在所有这几个视图中表示相同或相应的部分。
图1A至图1D展示了用于工件的自对准双重图案化(SADP)方法的一个实施例,并且图2A至图2D继续进行图1A至图1D的方法以展示自对准四重图案化(SAQP)方法的一个实施例。图3是与图1A至图1D的方法以及图2A至图2D的方法相对应的过程流程300的流程图。图4展示了本发明的可以用于执行过程流程300的公共生产平台的实施例。贯穿对图1A至图1E以及图2A至图2D的以下序列讨论,将参考图3的过程流程300和图4的公共生产平台400,其中,工件100被描述为其前进通过集成的加工步骤序列。
在过程流程300的操作302中并且如图1A所示,将工件100设置到公共生产平台400中,该工件具有形成在其上的第一心轴图案110。工件100可以包括已经经受光刻工艺的各种材料的堆叠,其中将抗蚀剂涂覆到衬底上并使其暴露出来以形成第一心轴图案110。然后,通过一系列等离子体步骤将抗蚀剂图案转移到底层。对于本领域技术人员而言,已知用于在衬底上创建心轴图案的不同方案,心轴可以是有机心轴或硬心轴,包括诸如硅、非晶碳、光致抗蚀剂聚合物、氧化物、氮化物等材料。一种这样的方案涉及沉积光学或有机平坦化层(OPL)(通常是旋涂材料)、然后沉积硅抗反射涂层(SiARC)(也称为旋涂)、接着进行抗蚀剂涂覆和光刻工艺。另一种方案涉及使用CVD沉积法沉积非晶碳层、然后使用CVD工艺进行SiON膜沉积、然后使用旋涂工艺进行底部抗反射涂层(BARC)沉积、接着进行抗蚀剂涂覆和光刻工艺。为简单起见,工件100被描绘为具有衬底104,该衬底上具有底层106,最终图案将被转移到该底层上,并且底层106上形成有第一心轴图案110,但是可以理解的是,其上形成有第一心轴图案110的结构可以是多层结构,其中底层106只是多个层中的一个层。在一 个实施例中,多层结构可以包括硬掩模层(未示出),该硬掩模层被图案化并被刻蚀,以在底 层106上方形成心轴图案。硬掩模层是用于将第一心轴图案110转移到底层106的光致抗蚀 剂掩模层的替代方案。在某些实例中,多层结构(底层106)可能需要光致抗蚀剂层可能无法 承受的更具侵蚀性的刻蚀工艺或多步骤刻蚀工艺,以实现第一心轴图案110的期望轮廓或 尺寸。在另一实施例(未示出)中,第一心轴图案110可以在操作302之后使用本文所披露的 任一种图案化技术经由在公共生产平台400上执行的刻蚀工艺来形成。
如图4所示,搬送模块410a可以用于将工件带入公共生产平台400的受控环境中,在整个过程流程300中维持该受控环境。受控环境可以包括真空环境,其中,在不破坏真空、或惰性气体气氛不小于大气压、或其组合的情况下进行过程流程300中的每个操作。如图4所描绘的,单个搬送模块可以耦接在每个加工模块或工具之间,或者每次工具搬送都可以使用单独的搬送模块410a至410h。在适当情况下,搬送模块410a至410h在本文可以被统称为搬送模块410。在公共生产平台400上的不同加工模块需要不同的受控环境的情况下(诸如这种不同情况:在一个模块中需要真空压力或真空,接下来的模块需要惰性气体气氛),可以使用多个搬送模块410,其中搬送模块410辅助实施不同受控环境之间的转变。尽管单个搬送模块在集群类型的工具(其中相同类型的加工模块围绕搬送模块呈圆形定位)中可能是有用的,但是多个搬送模块410可能更适合于具有不同加工模块类型的端到端平台配置(诸如图4所描绘的那样)。然而,本文的实施例不排除利用耦接到加工模块中的每个加工模块的单个搬送模块的端到端平台配置、或之间的某种配置,例如按顺序使用的相邻的相同类型加工模块使用一个公共搬送模块。
如在大批量生产时众所周知的,可以使用前端模块402a来装载工件输送盒(未示出)、依次排列工件并将这些工件插入到加载互锁中、然后到达受控环境中的搬送模块410a中,并且然后搬送模块410a将工件依次装载到加工模块中。在本发明的实施例的公共生产平台400中,在操作302中,已经被接收到受控环境中的工件100由搬送模块410a装载到托管在公共生产平台400上的成膜模块420中。
参考图1B和图3,在操作304中,在成膜模块420中,在第一心轴图案110和底层106上保形地沉积第一薄膜120。第一薄膜120可以包括氧化物、氮化物、硅、或其任意组合(例如,氮化硅、氧化硅或氮氧化硅)。如所示的,公共生产平台400可以包括在搬送模块410a的相反两侧的两个相同的成膜模块420。通过对平台400的两侧进行镜像,可以同时对两个工件进行端到端加工,并且如果一个成膜模块420暂时停止运行,则平台400可以以至少50%的产能继续操作。
然后,在不离开受控环境的情况下(例如,在不破坏真空的情况下),使用搬送模块410a和410b将工件100搬送到也托管在公共生产平台400上的刻蚀模块430(诸如第一刻蚀模块430a),例如,搬送模块410a将工件100从成膜模块420移除并且将该工件搬送到搬送模块410b,然后搬送模块410b将工件递送到第一刻蚀模块430a中。如果第一刻蚀模块430a以与成膜模块420不同的参数(诸如不同的真空压力)操作,则可以在搬送模块410a和410b中对受控环境进行调整。参考图1C和图3,在操作306中,在第一刻蚀模块430a中刻蚀第一薄膜120,以留下第一心轴图案110的侧壁上的第一薄膜120,剩余薄膜120形成第一侧壁间隔物122。例如,操作306可以是第一间隔物反应离子刻蚀(RIE)工艺,该第一间隔物RIE工艺通过从第一心轴图案110的上表面和从与第一心轴图案110相邻的下表面(例如,从底层106)上去除第一薄膜120来产生第一侧壁间隔物122。同样,公共生产平台400可以包括在搬送模块410b的相反两侧的两个相同的第一刻蚀模块430a。
此后,并且参考图1D和图3,在操作308中,同样在不离开受控环境的情况下(例如,在不破坏真空的情况下),执行第一心轴移除过程,该第一心轴移除过程去除第一心轴图案110,从而留下形成了第一侧壁间隔物122的剩余薄膜120。第一心轴移除过程可以在操作306中使用的同一刻蚀模块430中执行,或者在托管在公共生产平台400上的另一刻蚀模块430(诸如第二刻蚀模块430b)中执行。如果使用第二刻蚀模块430b,则在不离开受控环境的情况下,使用搬送模块410将工件从第一刻蚀模块430a搬送到第二刻蚀模块430b。如所示的,可以使用两个搬送模块410b、410c进行搬送,搬送模块410b将工件从第一刻蚀模块430a移除并将该工件搬送到搬送模块410c,然后搬送模块410c将工件递送到第二刻蚀模块430b中。如果第二刻蚀模块430b以与第一刻蚀模块430a不同的参数(诸如不同的真空压力)操作,则可以在搬送模块410b和410c中对受控环境进行调整。同样,公共生产平台400可以包括在搬送模块410c的相反两侧的两个相同的第二刻蚀模块430b。在去除了第一心轴图案110的情况下,保留的第一侧壁间隔物122形成新特征图案,该新特征图案的特征数量是第一心轴图案110中的特征或心轴数量的两倍,并且其间距是第一心轴图案110间距的一半。
可选地,可以在进一步图案化操作之前对工件进行一个或多个清洁过程。例如,清洁可以在操作308中使用的同一刻蚀模块430中执行,或者在托管在公共生产平台400上的另一刻蚀模块430(诸如第三刻蚀模块430c)中执行。如果使用第三刻蚀模块430c,则在不离开受控环境的情况下(例如,不破坏真空的情况下),使用搬送模块410将工件从第二刻蚀模块430b搬送到第三刻蚀模块430c。如所示的,可以使用两个搬送模块410c、410d进行搬送,搬送模块410c将工件从第二刻蚀模块430b移除并将该工件搬送到搬送模块410d,然后搬送模块410d将工件递送到第三刻蚀模块430c中。如果第三刻蚀模块430c以与第二刻蚀模块430b不同的参数(诸如不同的真空压力)操作,则可以在搬送模块410c和410d中对受控环境进行调整。同样,公共生产平台400可以包括在搬送模块410d的相反两侧的两个相同的第三刻蚀模块430c。在一个实施例中,如图4所展示的,第三刻蚀模块430c是用于执行化学氧化物去除的COR工具。
如由图3的箭头310所示,在操作318中,可以使用形成新特征图案的第一侧壁间隔物122将新特征图案转移到底层106中,以形成图1E中的双重图案108。图1E中的双重图案108可以用作使第一心轴图案110变为四倍的第二心轴图案222(如以下参考图2A至图2D和图3在操作312至318中描述的)。可替代地,图1D中形成新特征图案的第一侧壁间隔物122可以用作使第一心轴图案110变为四倍的第二心轴图案222(如以下参考图2A至图2D和图3在操作312至318中描述的)。
参考图2A和图3,在操作312中,并且同样在不离开受控环境的情况下(例如,在不破坏真空的情况下),在第二心轴图案222和底层106上保形地沉积第二薄膜230。第二薄膜230可以包括氧化物、氮化物或硅,例如,氧化钛。沉积可以在操作304中使用的同一成膜模块420中执行,或者在托管在公共生产平台400上的不同成膜模块422中执行。在不破坏真空的情况下,使用搬送模块410将工件100从第三刻蚀模块430c(或者在没有第三刻蚀模块430c时从第二刻蚀模块430b)搬送到成膜模块422。如所示的,可以使用两个搬送模块410d、410e进行搬送,搬送模块410d将工件100从第三刻蚀模块430c移除并将该工件搬送到搬送模块410e,然后搬送模块410e将工件100递送到成膜模块422中。另外,如所示的,在要由模块加工的工件100的数量发生变化的情况下,可以将批次合并/批次拆分模块424和剔除/重新对准模块426插入公共生产平台400上的过程流程中。在一个实施例中,成膜模块422是半批量沉积工具(例如,6晶圆工具),而刻蚀模块430a至430f是单晶圆工具。然后,搬送模块410将工件100依次搬送到批次合并/批次拆分模块424中进行批量定位,并且然后通过搬送模块410e将半批量(例如,6个工件)搬送到成膜模块422中。在半批量处理之后,搬送模块410e将工件100搬送到剔除/重新对准模块426中以使工件100重新对准,并且例如经由搬送模块410f将这些工件依次搬送到下一个单晶圆工具。如果成膜模块422以与第三刻蚀模块430c不同的参数(诸如不同的真空压力)操作,则可以在搬送模块410d和410e以及批次合并/批次拆分模块424中对受控环境进行调整。同样,公共生产平台400可以包括在搬送模块410e的相反两侧的两个相同的成膜模块422。
然后,在不离开受控环境的情况下(例如,在不破坏真空的情况下),使用搬送模块410f将工件100搬送到也托管在公共生产平台400上的刻蚀模块430,该刻蚀模块可以是在操作306中使用的同一刻蚀模块430或者是另一刻蚀模块430(诸如第四刻蚀模块430d)。如果第四刻蚀模块430d以与成膜模块422不同的参数(诸如不同的真空压力)操作,则可以在搬送模块410e和410f以及剔除/重新对准模块426中对受控环境进行调整。在操作314中,刻蚀第二薄膜230以留下第二心轴图案222的侧壁上的第二薄膜230,剩余的第二薄膜230形成第二侧壁间隔物232,如图2B所示。例如,操作314可以是第二间隔物反应离子刻蚀(RIE)工艺,该第二间隔物RIE工艺通过从第二心轴图案222的上表面和从与第二心轴图案222相邻的下表面(例如,从底层106)上去除第二薄膜230来产生第二侧壁间隔物232。
此后,并且同样在不离开受控环境的情况下(例如,在不破坏真空的情况下),在操作316中,执行第二心轴移除过程,该第二心轴移除过程去除第二心轴图案222,从而留下形成了第二侧壁间隔物图案232的剩余薄膜230,如图2C所示。第二心轴移除过程可以在操作308中使用的同一刻蚀模块430中执行,或者在托管在公共生产平台400上的另一刻蚀模块(诸如第五刻蚀模块430e)中执行。如果使用第五刻蚀模块430e,则在不离开受控环境的情况下,使用搬送模块410将工件100从第四刻蚀模块430d搬送到第五刻蚀模块430e。如所示的,可以使用两个搬送模块410f、410g进行搬送,搬送模块410f将工件从第四刻蚀模块430d移除并将该工件搬送到搬送模块410g,然后搬送模块410g将工件100递送到第五刻蚀模块430e中。如果第五刻蚀模块430e以与第四刻蚀模块430d不同的参数(例如,不同的真空压力)操作,则可以在搬送模块410f和410g中对受控环境进行调整。同样,公共生产平台400可以包括在搬送模块410g的相反两侧的两个相同的第五刻蚀模块430e。在去除了第二心轴图案222的情况下,保留的第二侧壁间隔物232形成另一新特征图案,该另一新特征图案的特征数量是第一心轴图案110中的特征或心轴数量的四倍,并且其间距是第一心轴图案110间距的四分之一。
在操作318中,可以使用第二侧壁间隔物232将新特征图案转移到底层106中,以形成四重图案236,如图2D所示。图2C中的四重侧壁间隔物232或图2D中的四重图案236可以用作使第一心轴图案110变为八倍的第三心轴图案222(如以上参考图2A至图2D和图3在操作312至318中描述的)。无论是在操作308之后还是在操作316之后执行的操作318可以在不离开受控环境的情况下在公共生产平台400上的刻蚀模块430中执行,或者可以在离开公共生产平台400之后执行。如果在公共生产平台400上执行,则可以使用任何刻蚀模块430,包括刻蚀模块430a至430f或不同的刻蚀模块(未示出)。在完成过程流程300、或过程流程300的要在公共生产平台400中执行的那部分之后,工件100经由另一前端模块402b退出公共生产平台400,该前端模块可以与前端模块402a相同,但是位于公共生产平台400上各模块的端对端布置中的后端。在前端模块402a的大致相反的过程中,工件100由搬送模块410h依次搬送到受控环境被移除的加载互锁,并且然后进入前端模块402b上的输送盒(未示出)中。以基本上镜像的方式布置的公共生产平台400的优点在于:如果某一模块必须停止运行,则提供冗余,在这种情况下公共生产平台400仍可以以降低的产能进行操作。
在一个实施例中,并且如将在以下更详细讨论的,公共生产平台400有利地包括“主动遮断系统”。主动遮断系统包括托管在公共生产平台400上的搬送模块410内的工件测量区域,或者包括托管在公共生产平台400上的集成计量模块(未示出)。工件测量区域可以位于搬送模块410的专用区中,如以下更详细描述的。工件测量区域或计量模块可以包括用于收集测量数据的检查系统。如以下更详细描述的,检查系统可以包括:至少一个光源,该至少一个光源用于引导入射在工件的测量表面上的光束;以及至少一个检测器,该至少一个检测器被布置成接收从工件的测量表面散射的光信号。主动遮断系统可以进一步包括托管在公共生产平台400上的智能系统,该智能系统被配置为从工件测量区域或计量模块收集数据并控制在公共生产平台400上执行的集成的加工步骤序列,诸如过程流程300。
对于根据本发明实施例的主动遮断,工件测量区域或计量模块“实时地”收集与半导体工件上的特征或层的属性(例如,膜或特征厚度、特征深度、表面粗糙度、图案偏移、空隙或其他缺陷、选择性损失、横向过度生长、均匀性等)有关的实时数据,并使用这种实时数据来同时控制托管在公共生产平台400上的集成加工模块中的集成操作变量。数据可以以反馈方式和/或前馈方式用于控制在后续模块中对工件执行的操作和/或控制在先前模块中对后一工件执行的操作,例如,如以下将参考图3的操作350至362所解释的。在实施例中,公共生产平台400包括校正模块,该校正模块可以是成膜模块420或422、刻蚀模块430、或在需要时用于对工件100施加校正性动作或补救处理的其他类型的加工模块。
与传统计量或过程控制不同,工件不会离开受控环境进入独立计量工具,从而使氧化和缺陷产生最小化,测量是非破坏性的,因此无需牺牲任何工件即可获得数据,从而使生产产量最大化,并且可以实时地收集数据作为过程流程的一部分,以避免对生产时间造成负面影响,并且使得能够对正在公共生产平台400上依次加工的工件或后续工件进行过程中调整。另外,不在成膜模块或刻蚀模块中执行测量,从而避免了在测量器件暴露于加工流体时的问题。例如,通过将工件测量区域结合到搬送模块中,可以在工件正在加工工具之间行进时获得数据,同时在过程流程中几乎没有延迟、不会暴露于加工流体并且不会离开受控环境(例如,不会破坏真空)。尽管“即时”数据可能不像根据在独立计量工具中执行的传统破坏性方法获得的数据那样准确,但对过程流程的几乎即时的反馈以及在不中断过程流程或不牺牲良品率的情况下进行实时调整的能力对于大批量生产而言是非常有利的。
进一步参考图3的过程流程300,该方法可以包括在不离开受控环境情况下(例如,在不破坏真空的情况下),在整个集成方法的任何不同时间使用主动遮断系统来检查工件,诸如执行计量,即获得测量数据。对工件的检查可以包括表征工件的一个或多个属性并确定该属性是否满足目标条件。例如,检查可以包括获得与属性相关的测量数据,以及确定缺陷率、膜保形性、厚度、均匀性和/或选择性条件是否满足该条件的目标。尽管以下讨论将集中于获得测量数据,但是可以理解的是,在公共生产平台的受控环境内执行的其他检查技术也在本发明的范围内。
主动遮断系统可以包括公共生产平台400上的单个计量模块或工件测量区域,或者可以包括公共生产平台400上的多个计量模块或工件测量区域,如将在以下更详细讨论的。如由图3中的虚线所指示的,每个计量操作都是可选的,但是可以在过程流程中的一个或多个点处有利地执行以确保工件100在规范内,从而减小缺陷率和EPE。在一个实施例中,在公共生产平台上进行的集成的加工步骤序列中的每个步骤之后,获得测量数据。测量数据可以用于在离开公共生产平台之前在校正模块中修复工件,和/或可以用于针对后续工件更改集成的加工步骤序列的参数。
广义上讲,在受控环境内,可以在集成的加工步骤序列期间获得与侧壁间隔物图案的形成相关的测量数据,并且可以基于该测量数据来确定侧壁间隔物图案的厚度、宽度或轮廓是否满足目标条件。当确定侧壁间隔物图案的厚度、宽度或轮廓不满足目标条件时,可以在公共生产平台上的校正模块中对工件进行加工以更改侧壁间隔物图案。在一个实施例中,当不满足侧壁间隔物图案的目标厚度、目标宽度或目标轮廓时,可以通过以下操作来修复侧壁间隔物图案:(i)将附加材料选择性地沉积到结构上、(ii)将附加材料保形地沉积到结构上、(iii)重塑结构、(iv)刻蚀结构、(v)将掺杂剂注入到结构中、(vi)去除并重新施加结构的材料层、或其中两项或更多项的任意组合。
在实施例中,当在公共生产平台上的成膜模块中施加的薄膜的保形性或均匀性不满足该薄膜的目标保形性或目标均匀性时,可以采取校正性动作来修复该薄膜。修复保形地施加的薄膜可以通过去除薄膜并重新施加该薄膜、保形地施加附加薄膜、刻蚀薄膜、或其中两项或更多项的组合来实现。例如,可以将工件搬送到校正刻蚀模块以去除薄膜或部分地刻蚀薄膜,和/或可以将工件搬送到校正成膜模块以在去除薄膜之后重新施加薄膜或在现有薄膜或被部分地刻蚀的薄膜上施加附加薄膜。
在实施例中,当在公共生产平台上的刻蚀模块中形成的侧壁间隔物的厚度、宽度或轮廓不满足侧壁间隔物的目标厚度、宽度或轮廓时,可以采取校正性动作来修复侧壁间隔物。可以通过选择性地将附加材料沉积到侧壁间隔物上、重塑侧壁间隔物、将掺杂剂注入侧壁间隔物中或其中两项或更多项的组合来实现对侧壁间隔物的修复。例如,可以将工件搬送到校正成膜模块以选择性地沉积间隔物材料,或者搬送到一个或多个校正成膜模块和/或刻蚀模块以执行侧壁间隔物重塑处理。
校正模块可以是被指定为公共生产平台上的校正模块或集成在公共生产平台上的另一类型的加工模块(诸如热退火模块)的不同的成膜模块和刻蚀模块,或者可以是用于保形地施加薄膜、刻蚀薄膜和去除心轴图案的相同的成膜模块和刻蚀模块。
现在将详细地描述图3的具有可选的计量操作的过程流程300。操作302包括将具有第一心轴图案的工件接收到公共生产平台中。操作350包括可选地执行计量,以获得与进入工件的属性(诸如第一心轴图案和/或心轴图案形成于其上并且要将最终图案转移到其中的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作304至318中的任一项操作的加工参数。
操作304包括使用托管在公共生产平台上的成膜模块在第一心轴图案上保形地施加第一薄膜。操作352包括可选地执行计量,以获得与具有所施加的保形第一薄膜的工件的属性(诸如第一薄膜的属性;如受薄膜沉积影响的第一心轴图案的属性;和/或如受薄膜沉积影响的、要将最终图案转移到其中的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作306至318中的任何一项操作的加工参数,可以用于针对后续工件对在操作302中或操作304中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复保形地施加的第一薄膜。例如,当第一薄膜的保形性或均匀性不满足第一薄膜的目标保形性或目标均匀性时,可以在一个或多个校正模块中采取校正性动作,诸如去除薄膜并重新施加薄膜、保形地施加附加薄膜、刻蚀薄膜、或其中两项或更多项的组合。
操作306包括使用托管在公共生产平台上的刻蚀模块从第一心轴图案的上表面和与第一心轴图案相邻的下表面(例如,从底层)上去除第一薄膜,以形成第一侧壁间隔物(称为间隔物刻蚀)。操作354包括可选地执行计量,以获得与具有在第一心轴图案的侧壁上形成第一侧壁间隔物的经刻蚀第一薄膜的工件的属性(诸如第一侧壁间隔物的属性、如受间隔物刻蚀影响的第一心轴图案的属性、和/或如受间隔物刻蚀影响的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作308至318中的任何一项操作的加工参数,可以用于针对后续工件对在操作302或操作304至306中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复心轴图案的侧壁上的第一侧壁间隔物。例如,当侧壁间隔物的厚度、宽度或轮廓不满足侧壁间隔物的目标厚度、宽度或轮廓时,可以在一个或多个校正模块中采取校正性动作,诸如通过选择性地将附加材料沉积到侧壁间隔物上、重塑侧壁间隔物、将掺杂剂注入侧壁间隔物中或其中两项或更多项的组合。
操作308包括使用托管在公共生产平台上的刻蚀模块来去除第一心轴图案(称为心轴移除)以留下第一侧壁间隔物。操作356包括可选地执行计量,以获得与具有第一侧壁间隔物的工件的属性(诸如如受心轴移除影响的第一侧壁间隔物的属性、和/或如受心轴移除影响的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作310至318中的任何一项操作的加工参数,可以用于针对后续工件对在操作302中或操作304至308中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复第一侧壁间隔物。例如,当侧壁间隔物的厚度、宽度或轮廓不满足侧壁间隔物的目标厚度、宽度或轮廓时,可以在一个或多个校正模块中采取校正性动作,诸如通过选择性地将附加材料沉积到侧壁间隔物上、重塑侧壁间隔物、将掺杂剂注入侧壁间隔物中或其中两项或更多项的组合。
在SADP实施例中,过程流程300可以在没有操作356的情况下或者在操作356之后经由SADP流程310继续到操作318,如以下所讨论的。
操作312包括使用托管在公共生产平台上的成膜模块来在用作第一心轴图案的第一侧壁间隔物上保形地施加第二薄膜。操作358包括可选地执行计量,以获得与具有所施加的保形第二薄膜的工件的属性(诸如第二薄膜的属性、如受薄膜沉积影响的第二心轴图案的属性、和/或如受薄膜沉积影响的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作314至318中的任何一项操作的加工参数,可以用于针对后续工件对在操作302中或操作304至308中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复保形地施加的第二薄膜。例如,当第二薄膜的保形性或均匀性不满足第二薄膜的目标保形性或目标均匀性时,可以在一个或多个校正模块中采取校正性动作,诸如去除薄膜并重新施加薄膜、保形地施加附加薄膜、刻蚀薄膜或其中两项或更多项的组合。
操作314包括使用托管在公共生产平台上的刻蚀模块从第二心轴图案的上表面和与第二心轴图案相邻的下表面(例如,从底层)去除第二薄膜,以形成第二侧壁间隔物(称为间隔物刻蚀)。操作360包括可选地执行计量以获得与具有在第二心轴图案的侧壁上形成第二侧壁间隔物的经刻蚀第二薄膜的工件的属性(诸如第二侧壁间隔物的属性、如受间隔物刻蚀影响的第二心轴图案的属性、和/或如受间隔物刻蚀影响的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作316至318中的任何一项操作的加工参数,可以用于针对后续工件对在操作302中或操作304至314中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复第二心轴图案的侧壁上的第二侧壁间隔物。例如,当侧壁间隔物的厚度、宽度或轮廓不满足侧壁间隔物的目标厚度、宽度或轮廓时,可以在一个或多个校正模块中采取校正性动作,诸如通过选择性地将附加材料沉积到侧壁间隔物上、重塑侧壁间隔物、将掺杂剂注入侧壁间隔物中或其中两项或更多项的组合。
操作316包括使用托管在公共生产平台上的刻蚀模块来去除第二心轴图案(称为心轴移除)以留下第二侧壁间隔物。操作362包括可选地执行计量以获得与具有第二侧壁间隔物的工件的属性(诸如如受心轴移除影响的第二侧壁间隔物的属性、和/或如受心轴移除影响的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作318中的加工参数,可以用于针对后续工件对在操作302中或操作304至316中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复第二侧壁间隔物。例如,当侧壁间隔物的厚度、宽度或轮廓不满足侧壁间隔物的目标厚度、宽度或轮廓时,可以在一个或多个校正模块中采取校正性动作,诸如通过选择性地将附加材料沉积到侧壁间隔物上、重塑侧壁间隔物、将掺杂剂注入侧壁间隔物中或其中两项或更多项的组合。
如上所述,加工参数可以包括加工模块内的任何加工变量,诸如但不限于以下各项:气体流速;刻蚀剂、沉积反应物、吹扫气体等的合成物;腔室压力;温度;电极间距;功率;等。主动遮断系统的智能系统被配置为:从检查系统收集测量数据;并且例如通过以下方式来控制在公共生产平台上执行的集成的加工步骤序列:针对加工中的工件对后续加工模块中的加工参数进行原地调整,或者针对后续工件改变一个或多个加工模块中的加工参数。因此,所获得的测量数据可以用于识别在集成的加工步骤序列期间工件所需的修复,以避免必须报废工件,和/或可以用于针对在获得测量数据之后在同一工件上执行的步骤或针对加工后续工件来调整集成的加工步骤序列的加工参数,以减少后续工件不满足目标条件的发生。
现在参考图5,提供用于执行SAMP工艺(诸如以上在图1A至图1E、图2A至图2D和图3中描述的那些)的公共生产平台500的另一实施例,其中相同的附图标记用于指代相同的部分。类似于以上所描述的图4,公共生产平台500在公共生产平台500的两端包括用于将工件100搬入和搬出公共生产平台500的前端模块402a和402b。公共生产平台500包括多个搬送模块410,该多个搬送模块用于将工件搬入和搬出托管在公共生产平台500上的多个加工模块。该多个加工模块包括一个或多个成膜模块420(诸如一个或多个沉积工具)和一个或多个刻蚀模块430(诸如一个或多个干法刻蚀工具、湿法刻蚀工具、和/或COR工具)。如所示的,可以包括各自耦接到搬送模块410之一的两个成膜模块420,并且该两个成膜模块可以是相同类型或不同类型的工具。如进一步示出的,可以包括多个刻蚀模块430,其中两个刻蚀模块430耦接到几个搬送模块410中的每个搬送模块。示出了六个刻蚀模块430,但是可以包括更少或更多数量的刻蚀模块430。成膜模块420可以用于执行操作304和312。刻蚀模块430可以用于执行操作306、308、314和316,并且可选地执行操作318和其他清洁或刻蚀操作。任何加工模块都可以用作用于修复工件的校正模块,或者可以添加用于执行校正性动作的附加加工模块。多个加工模块通常形成从前端到后端的两条线440、450,一条线440沿着一排搬送模块410的一侧,而另一条线450沿着这排搬送模块410的另一侧。
在一个示例中,沿着线440从前端到后端对单个工件100进行加工、然后将其搬送回到前端并沿着线450对其再次加工。因此,沿着线440执行保形沉积操作304、间隔物刻蚀操作306和心轴移除操作308以使图案变为两倍,然后沿着线450执行保形沉积操作312、间隔物刻蚀操作314和心轴移除操作316以使图案变为四倍,从而沿着端到端公共生产平台500的两个行程重复这些操作。在将工件100搬送回到前端之前,可以在线440的末端处(在按顺序示出的第三刻蚀模块430中或在成膜模块或其他加工模块(未示出)中)执行湿法刻蚀工艺或修复工艺以清洁或修复第二心轴图案,然后再沿线450重复这些操作。可以在线450的末端处(在按顺序示出的第三刻蚀模块430中或在成膜模块或其他加工模块(未示出)中)执行COR工艺或修复工艺以从四重图案中去除氧化物或修复该图案,然后再退出公共生产平台500。可替代地,在以线450示出的第三刻蚀模块430中,可以在合适类型的刻蚀模块中执行操作318。在该示例中,在线440、450的后端处的附加刻蚀模块可以彼此不同,因为这些刻蚀模块430中的每个刻蚀模块都将仅在集成过程流程300的一个阶段依次对工件进行加工。
在另一示例中,两条线440、450独立地操作以同时(要么在时间上同相或在时间上偏移)加工两个工件100,每个工件沿着线440或450之一从前端前进到后端、然后被搬送回到前端,并且再次沿着相同的线440或450加工每个工件。因此,沿着每条线440和450执行保形沉积操作304、间隔物刻蚀操作306和心轴移除操作308以使这两个工件100上的图案变为两倍,然后沿着线440和450执行保形沉积操作312、间隔物刻蚀操作314和心轴移除操作316以使这两个工件100上的图案变为四倍,从而沿着端到端公共生产平台500的两个行程重复这些操作。在将工件100搬送回到前端之前,可以在第一行程的末端处(在按顺序示出的第三刻蚀模块430中或在成膜模块或其他加工模块(未示出)中)执行清洁刻蚀工艺或修复工艺以清洁或修复第二心轴图案,然后再重复这些操作。可以在第二行程的末端处(在按顺序示出的第三刻蚀模块430中或在成膜模块或其他加工模块(未示出)中)执行清洁刻蚀、修复工艺或图案转移刻蚀(操作318),然后再退出公共生产平台500。在该示例中,第三刻蚀模块430(或其他类型的校正模块)将是相同类型的模块,因为它们各自是在集成过程流程300的多于一个阶段对工件进行加工。该示例的优点在于:如果某一模块必须停止运行,则提供冗余,在这种情况下公共生产平台500仍可以以50%的产能进行操作。
在一个实施例中,公共生产平台包括用于在心轴图案上保形地沉积薄膜的至少一个沉积模块、用于执行间隔物刻蚀和心轴移除的至少一个刻蚀模块、以及用于在整个集成过程流程中维持受控环境时在模块之间搬送工件的至少一个搬送模块。有利地,至少一个刻蚀模块包括至少两个刻蚀模块,一个刻蚀模块用于间隔物刻蚀,并且一个刻蚀模块用于心轴移除。在另一实施例中,公共生产平台包括用于获得与工件的一个或多个属性相关的测量数据的至少一个工件测量区域,该工件测量区域位于至少一个搬送模块的专用区内、或者位于受控环境内的托管在公共生产平台上的计量模块内。在一个实施例中,公共生产平台包括至少一个校正模块,该至少一个校正模块用于执行对工件的修复,诸如修复保形地沉积的薄膜或侧壁间隔物。
如本领域普通技术人员可以理解的,可以基于在不同模块中执行操作所需的不同模块的加工时间来选择公共生产平台上的加工模块的数量和位置以及计量操作,以在整个公共生产平台中提供基本上连续的过程流程并且因此实现良好的产量匹配。
在一个实施例中,公共生产平台上的多个加工模块以及集成过程流程适于在多色SAMP工艺中使用,其中不同颜色(即,不同的材料,各自具有不同的刻蚀选择性,使得每种颜色表示一种不同的刻蚀速率)的自对准块(SAB)用于实现精确的线切割。在某些实施例中,用于形成侧壁间隔物图案的集成过程流程可以包括如各自名称为“Methods of FormingEtch Masks for Sub-Resolution Substrate Patterning[形成用于亚分辨率衬底图案化的刻蚀掩模的方法]”的美国专利号9,818,611或10,020,196的实施例中所描述的任何加工步骤序列,该加工步骤序列在不离开受控环境的情况下在公共生产平台中执行。进一步地,尽管以上详细地讨论了双重图案化和四重图案化,但是在公共生产平台上的多个加工模块和集成的过程流程可以适于在任何多重图案化工艺中使用。
图6A至图6G展示了用于工件的自对准三重图案化(SATP)方法的一个实施例,并且图7是与图6A至图6G的方法相对应的过程流程700的流程图。如以上所讨论的,图4和图5展示了本发明的可以用于执行过程流程700的公共生产平台的实施例。
在过程流程700的操作702中并且如图6A所示,将工件600设置到公共生产平台400或500中,该工件具有形成在其上的第一心轴图案610。工件600可以如以上针对工件100所描述的那样。类似地,为简单起见,工件600被描绘为具有衬底604,该衬底上具有底层606,最终图案将被转移到该底层上,并且底层606上形成有心轴图案610,但是可以理解的是,其上形成有心轴图案610的结构可以是多层结构,其中底层606只是多个层中的一个层。
如图4和图5所示,搬送模块410或410a可以用于将工件600带入公共生产平台400或500的受控环境中,在整个过程流程700中维持该受控环境。在本发明的实施例的公共生产平台400或500中,在操作702中,已经被接收到受控环境中的工件600由搬送模块410或410a装载到托管在公共生产平台400上的成膜模块420中。
参考图6B和图7,在操作704中,在成膜模块420中,在心轴图案610和底层606上保形地沉积第一薄膜620。第一薄膜620可以包括氧化物、氮化物、硅、或其任意组合(例如,氮化硅、氧化硅或氮氧化硅)。
然后,在不离开受控环境的情况下(例如,在不破坏真空的情况下),使用搬送模块410或410a和410b将工件600搬送到托管在公共生产平台400或500上的刻蚀模块430,例如,在平台400中,搬送模块410a将工件600从成膜模块420移除并将该工件搬送到搬送模块410b,然后搬送模块410b将工件递送到第一刻蚀模块430a中。在操作706中,在第一刻蚀模块430或430a中刻蚀第一薄膜620以留下心轴图案110的侧壁上的第一薄膜620,剩余的薄膜620形成第一侧壁间隔物622,如图6C所示。例如,操作706可以是产生第一侧壁间隔物622的第一间隔物反应离子刻蚀(RIE)工艺。
参考图6D和图7,在操作708中,并且同样在不离开受控环境的情况下(例如,在不破坏真空的情况下),在第一侧壁间隔物622、心轴图案610和底层606上保形地沉积第二薄膜630。第二薄膜630可以包括氧化物、氮化物、硅、或其任意组合(例如,氧化钛)。沉积可以在操作704中使用的同一成膜模块420中执行,或者在托管在公共生产平台400或500上的第二成膜模块420或422中执行。在不破坏真空的情况下,使用搬送模块410将工件600从第一刻蚀模块430搬送到第二成膜模块420或422。可以注意到,公共生产平台500可以被修改为沿线440和450中的每条线在第一和第二刻蚀模块430之间添加成膜模块420以适应操作708。
然后,在不离开受控环境的情况下(例如,在不破坏真空的情况下),使用一个或多个搬送模块410将工件600搬送到托管在公共生产平台400或500上的第二刻蚀模块430。在操作710中,在第二刻蚀模块430中刻蚀第二薄膜630以留下第一侧壁间隔物622的侧壁上的第二薄膜630,剩余的第二薄膜630形成第二侧壁间隔物632,如图6E所示。例如,操作710可以是产生第二侧壁间隔物632的第二间隔物反应离子刻蚀(RIE)工艺。
然后,在不离开受控环境的情况下(例如,在不破坏真空的情况下),使用一个或多个搬送模块410将工件600搬送到托管在公共生产平台400或500上的第三刻蚀模块430。在操作712中,然后执行间隔物移除过程,该间隔物移除过程选择性地去除第一侧壁间隔物622,从而留下形成了第二侧壁间隔物632和心轴图案610的剩余第二薄膜630,如图6F所示。间隔物移除过程可以在操作706或710中使用的同一刻蚀模块430中执行,或者在托管在公共生产平台400或500上的另一刻蚀模块430中执行。在不离开受控环境的情况下,使用一个或多个搬送模块410将工件从一个刻蚀模块搬送到另一刻蚀模块430,并且如果第三刻蚀模块430以与第二刻蚀模块不同的参数(诸如不同的真空压力)操作,则可以在搬送模块中对受控环境进行调整。在去除了第一侧壁间隔物622的情况下,保留的第二侧壁间隔物632和心轴图案610形成新特征图案,该新特征图案的特征数量是心轴图案610中的特征或心轴数量的三倍,并且其间距是第一心轴图案610间距的三分之一。
在图7的操作714中,可以使用第二侧壁间隔物632和心轴图案610将图案转移到底层606中,以形成图6G中的三重图案608。
类似于图3的过程流程300,在图7的过程流程700中,该方法可以包括在不离开受控环境的情况下(例如,在不破坏真空的情况下),在整个集成方法的任何不同时间使用主动遮断系统来执行计量。主动遮断系统可以包括公共生产平台400或500上的单个计量模块或工件测量区域,或者可以包括公共生产平台400或500上的多个计量模块或工件测量区域。如由图7中的虚线所指示的,每个计量操作都是可选的,但是可以在过程流程中的一个或多个点处有利地执行以确保工件600在规范内,从而减小缺陷率和EPE。
在不重复过程流程300的描述中提供的细节的情况下,现在将以可选的计量操作来简短地描述过程流程700。操作750包括可选地执行计量,以获得与进入工件的属性(诸如心轴图案和/或心轴图案形成于其上并且要将最终图案转移到其中的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作704至714中任一项操作的加工参数。
操作752包括可选地执行计量,以获得与具有所施加的保形第一薄膜的工件的属性(诸如第一薄膜的属性;如受薄膜沉积影响的心轴图案的属性;和/或如受薄膜沉积影响的、要将最终图案转移到其中的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作706至718中的任何一项操作的加工参数,可以用于针对后续工件对在操作702中或操作704中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复保形地施加的第一薄膜,如上所述。
操作754包括可选地执行计量,以获得与具有在心轴图案的侧壁上形成第一侧壁间隔物的经刻蚀第一薄膜的工件的属性(诸如第一侧壁间隔物的属性、如受间隔物刻蚀影响的心轴图案的属性、和/或如受间隔物刻蚀影响的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作708至714中的任何一项操作的加工参数,可以用于针对后续工件对在操作702或操作704至706中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复心轴图案的侧壁上的第一侧壁间隔物,如上所述。
操作756包括可选地执行测量,以获得与具有所施加的保形第二薄膜的工件的属性(诸如第二薄膜的属性、如受薄膜沉积影响的心轴图案的属性、如受薄膜沉积影响的第一侧壁间隔物的属性、和/或如受薄膜沉积影响的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作710至714中的任何一项操作的加工参数,可以用于针对后续工件对在操作702中或操作704至708中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复保形地施加的第二薄膜,如上所述。
操作758包括可选地执行计量,以获得与具有在第一侧壁间隔物的侧壁上形成第二侧壁间隔物的经刻蚀第二薄膜的工件的属性(诸如第二侧壁间隔物的属性、如受间隔物刻蚀影响的第一侧壁间隔物的属性、如受间隔物刻蚀影响的心轴图案的属性、和/或如受间隔物刻蚀影响的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作712至714中的任何一项操作的加工参数,可以用于针对后续工件对在操作702中或操作704至710中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复第一侧壁间隔物的侧壁上的第二侧壁间隔物,如上所述。
操作760包括可选地执行计量,以获得与具有第二侧壁间隔物和心轴图案的工件的属性(诸如如受间隔物移除影响的第二侧壁间隔物的属性、如受间隔物移除影响的心轴图案的属性、和/或如受间隔物移除影响的底层的属性)相关的测量数据,该测量数据可以用于调整和/或控制操作714中的加工参数,可以用于针对后续工件对在操作702中或操作704至712中的工件的进入属性进行调整,或者可以用于在继续加工之前修复工件。在一个实施例中,当测量数据指示一个或多个属性不满足目标条件时,可以将工件搬送到校正模块以修复形成三重特征图案的第二侧壁间隔物和/或心轴图案。
如本文所披露的,术语“计量模块”或“测量模块”是指可以在工件上进行测量以检测或确定工件上的各种不一致性或变化(诸如参数变化)或者检测或确定工件上的缺陷(诸如某种污染)的模块/系统/传感器/工具。如本文所使用的,术语“检查系统”通常将是指测量和采集与测量相关联的数据或信号的测量过程或模块的工具或系统。测量模块将进行测量并提供数据,以供在本文中进一步披露的加工平台中使用。术语“计量模块”和“测量模块”在本文将可互换使用,并且通常是指用于检测和测量工件的属性的测量或计量或感测工具,这些属性指示工件和在其上形成的各层和器件的加工。
为了使工件在各个加工模块之间移动,公共生产平台通常将结合一个或多个工件搬送模块,该一个或多个工件搬送模块托管在公共生产平台上,并被配置用于使工件在加工模块与(多个)测量模块之间移动。类似于加工模块,测量模块可以与工件搬送模块耦接。在本发明的一些实施例中,如本文所披露的,测量模块或与其相关联的检查系统与搬送模块结合或在搬送模块内部,以当工件在加工模块之间移动时提供测量或计量。例如,测量模块或其一部分可以被定位在搬送模块的内部空间内。在本文中,将组合的搬送和测量装置称为搬送测量模块(“TMM”)。
在一个实施例中,包括加工室和测量模块两者的公共生产平台由系统主动控制,该系统处理所测量的与工件上的属性相关联的数据,并使用所测量数据来控制工件在加工序列中的移动和加工。根据本发明的实施例,控制系统使用所测量数据和其他数据来部分地基于所测量数据执行校正性加工,以主动遮断加工序列以校正不一致性或缺陷。更具体地,主动遮断控制系统托管在公共生产平台上,并且被配置为部分地基于所测量数据来执行校正性加工,其中,工件的校正性加工可以在平台中位于加工序列上游或下游的加工模块中进行以解决检测到不一致性或缺陷的情况。在本发明的实施例中,将工件维持在受控环境中,诸如例如在真空下。即,在公共生产平台上,加工模块和测量模块在受控环境中操作,并且工件搬送模块在不离开该受控环境的情况下在加工序列中的多个加工模块与一个或多个测量模块之间搬送工件。
如本文所使用的,术语“主动遮断”通常是指控制系统,该控制系统如被实施用于针对各个制造工艺实时捕获测量/计量数据,以获得关于工件属性的数据从而检测不一致性或缺陷,并获得关于控制的校正性方面的数据以校正或改善不一致性或缺陷。主动遮断控制系统通过主动改变加工序列和/或执行加工步骤的模块的操作来将数据用于半导体制造工艺中各种不一致性的校正和改善。因此,主动遮断控制系统还与用于在过程中移动工件的一个或多个搬送模块(例如,410)接口连接。主动遮断控制系统(如以下进一步描述的,图8中的822以及图9A至图9D中的922)与制造工艺协调数据采集和数据分析以及不一致性的检测,并进一步指导多个加工模块的动作以解决检测到的不一致性或缺陷。主动遮断控制系统通常由如本文描述的一个或多个计算机或计算设备实施,该一个或多个计算机或计算设备操作专门设计的一组程序(诸如深度学习程序)或在本文中统称为主动遮断组件的自主学习组件。可以理解,主动遮断控制系统可以结合多个程序/组件以协调来自各个测量模块的数据采集和后续分析。主动遮断控制系统与公共生产平台中的多个加工模块接口连接,以便解决各种测量到的不一致性/缺陷,以校正或改善不一致性/缺陷。主动遮断控制系统将由此控制一个或多个加工模块和加工序列以实现本发明的期望结果,这些期望结果可以被称为目标条件或预定阈值。
主动遮断控制系统还控制搬送模块,以便在检测到不一致性/缺陷时将工件移动到上游和/或下游的加工模块。即,根据检测到的内容,本发明的系统可以按照加工序列进一步移动工件,或者可以将工件引导到校正模块或上游加工模块以进行校正或以其他方式解决检测到的不一致性或缺陷。这样,通过搬送模块提供了前馈和反馈机制,以提供本发明的主动遮断。此外,可以在上游或下游影响未来工件的加工序列。
本发明的主动遮断特征使用采集的测量/计量数据、使用批次间、晶圆间、晶圆内和实时的过程控制来改进生产过程的性能、良品率、产量和灵活性。在加工期间实时采集所测量数据,而无需从受控加工环境中移除工件/衬底/晶圆。根据本发明的一个特征,在公共生产平台中,可以在衬底保持在受控环境中(诸如例如在真空下)的同时捕获测量数据。即,(多个)工件搬送模块被配置为在不离开受控环境的情况下在多个加工模块与测量模块之间搬送工件。主动遮断控制可以提供基于模型的多元系统(该系统是结合前馈和反馈机制开发的),以基于传入的工件以及模块或工具状态特性自动确定每个工件的最佳配方(recipe)。主动遮断控制系统使用制造测量数据、过程模型和复杂的控制算法来对中间过程目标进行动态微调,以增强最终器件目标。遮断系统使用如本文描述的类似构建块、概念和算法,能够跨公共生产平台上的单个腔室、加工工具、多个工具、加工模块和多个加工模块实现可扩展的控制解决方案。
图8是用于在公共生产平台800上实施本发明的实施例的另一系统的示意图。平台800结合有多个加工模块/系统,用于在根据本发明实施例的主动遮断控制系统822的控制下执行集成的工件加工和工件测量/计量。图8展示了本发明的实施例,其中,一个或多个工件测量模块通过一个或多个搬送模块与一个或多个工件加工模块耦接在一起。以此方式,根据本发明的特征,可以当工件保留在公共生产平台内的同时对工件进行检查以提供与工件的属性相关联的测量数据,诸如关于工件的材料特性以及在工件上形成的各种薄膜、层和特征的材料特性的数据。如本文所讨论的,可以在诸如刻蚀或沉积步骤等加工步骤完成后立即进行测量和分析,并且可以对收集到的测量数据进行分析,并且然后在公共生产平台内使用该测量数据来解决相对于工件设计参数而言不符合规范或非保形或表示缺陷的任何测量结果或特征。不需要将工件从公共生产平台上移除来采取校正性动作,而是可以将其保持在受控环境下。
参考图8,示意性地展示了公共生产平台800。平台800包括用于将一个或多个工件引入生产平台中的前端模块802。如已知的,前端模块(FEM)可以结合固持工件的一个或多个输送盒。前端模块可以维持在大气压下,但可以用惰性气体吹扫以提供清洁的环境。然后可以将一个或多个工件搬送到搬送模块810中,诸如通过如本文讨论的一个或多个加载互锁室(未示出)。图8的搬送模块是搬送测量模块(TMM),该搬送测量模块包括集成在其中的测量工具或检查系统,用于从工件捕获数据。可以接口连接多个TMM 810,以提供工件按期望序列的移动。搬送测量模块810与多个加工模块耦接。此类加工模块可以提供各种不同的加工步骤或功能,并且可以包括一个或多个刻蚀模块830、一个或多个成膜模块820、一个或多个清洁模块840和一个或多个测量模块812a、812b、812c、812d。根据如本文进一步披露的本发明的实施例,可以在每个加工步骤之前或之后通过搬送模块810访问测量模块。在一个实施例中,诸如812c、812d等测量模块位于搬送模块810的外部,并且类似于各个加工模块而被访问以插入和接收工件,并且在本文中可以被称为驻留在公共生产平台800的受控环境内的计量模块。可替代地,测量模块或其至少一部分(诸如模块812a、812b)可以位于相应的搬送模块中。更具体地,测量模块812a、812b的全部或一部分位于搬送模块810中,以限定其中的测量区域,在该测量区域中,在搬送过程期间可以定位工件以进行测量。测量区域位于搬送模块810的专用区中,并且可由用于定位该工件的搬送模块的搬送机构访问。如上所述,这使得搬送模块实质上是本文所讨论的搬送测量模块(TMM)。
通常,搬送模块在其中限定腔室,该腔室容纳搬送机械手,该搬送机械手能够在真空下将工件移动通过各种闸阀和进入端口或搬送端口而进入各个加工模块或测量模块中。通过将测量模块维持在公共生产平台800上,可以诸如在加工步骤中的一个或多个之间方便地访问这些测量模块以便即时提供必要的所测量分析数据,这些数据将用于解决不符合规范或以其他方式与特定工件的工件设计计划非保形的任何工件,或用于解决可检测的缺陷。以此方式,提供了实时数据以允许制造商在系统中及早识别问题,从而可以在当前加工序列中(诸如在后一加工步骤中、在先前的加工步骤中和/或在未来的加工步骤中)根据所捕获数据和检测到的不一致性或缺陷采取补救动作。以此方式,可以提高生产率和效率,可以减少过程监测的开销,并且可以减少呈被拒绝或剔除的工件的形式的产品浪费。所有这些都为制造商或器件制造者节省了大量成本。
如上所述,在结合有主动遮断控制系统822的本发明的一个实施例中,一个或多个测量模块被托管在具有加工模块的公共生产平台上,用于提供所测量的与工件的属性有关的数据。主动遮断控制系统822将数据用于检测不一致性,并在检测到不一致性时对工件执行校正性加工。当检测到不一致性时,在加工序列的上游和/或下游执行校正性加工。
参考图9A,展示了适用于实践ASD方法的示例性公共生产平台900。公共生产平台900结合有多个模块和加工工具,用于对半导体衬底进行加工以制造集成电路和其他器件。公共生产平台900结合有一个或多个计量/测量模块,该一个或多个计量/测量模块与加工模块一起结合在公共生产平台900内。例如,平台900可以结合有多个加工模块,该多个加工模块如图所示耦接到搬送模块。在一些实施例中,测量模块或工具也至少部分地定位于搬送模块内部。这样,可以对工件进行加工,并且然后立即将其搬送到测量模块,以采集与工件的属性相关联的各种制造数据,以供主动遮断控制系统进一步处理。主动遮断控制系统从加工和测量模块收集数据,并通过工件的选择性移动和对多个加工模块中一个或多个的控制来控制在公共生产平台上执行的加工序列。此外,平台900的加工系统可以在不离开公共生产平台900的受控环境的情况下在搬送模块的腔室内以及在各个加工模块与测量/计量模块之间搬送工件。主动遮断控制系统利用从一个或多个测量模块获得的工件测量结果得出的信息来控制通过各个加工模块的顺序过程流程。此外,主动遮断控制系统结合了加工模块的原地测量结果和数据,以控制通过平台900的顺序过程流程。在受控环境中获得的衬底上测量数据可以单独利用,或者也可以与原地加工模块测量数据结合利用,以根据本发明进行过程流程控制和过程改进。
再次转向图9A,公共生产平台900包含用于将工件引入受控环境中的前端模块902。示例性平台900包括围绕工件搬送模块910的周边组织的多个加工模块920a至920d和一个或多个测量/计量模块916。公共生产平台900包括耦接到前端模块902的输送盒模块904和加载互锁室908前端模块902通常维持在大气压下,但是可以通过用惰性气体进行吹扫来提供清洁的环境。加载互锁室908耦接到集中式工件搬送模块910,并且可以用于将工件从前端模块902搬送到工件搬送模块910以在平台900的受控环境中进行加工。
工件搬送模块910可以维持在非常低的基底压力(例如,5×10-8托或更低)或用惰性气体不断地吹扫。根据本发明,测量/计量模块916可以在大气压下操作或在真空条件下操作。根据一个实施例,测量模块916保持在真空条件下,并且在不离开真空的情况下在平台900中对晶圆进行加工和测量。如本文进一步披露的,计量模块可以包括一个或多个检查系统或分析工具,该一个或多个检查系统或分析工具能够测量工件和/或沉积在工件上的薄膜和层或在工件上形成的器件的一种或多种材料特性或属性。如本文所使用的,术语“属性”用于指示工件、工件上的层、工件上的特征或器件等的可测量特征或特性,其反映了加工序列的加工质量。然后,通过主动遮断控制系统分析所测量数据以及其他原地加工数据,将所测量的与属性相关联的数据用于调整加工序列。例如,所测量的属性数据反映了工件上的不一致性或缺陷,以提供校正性加工。
图9A实质上展示了单个测量模块716。然而,特定公共生产平台900可以结合有多个此类测量模块,这些测量模块结合在一个或多个工件搬送系统(诸如工件搬送模块910)周围。此类测量模块916可以是独立模块,这些独立模块可以像加工模块一样通过搬送模块910来访问。此类独立模块通常将在其中结合检查系统,这些检查系统被配置为接合被定位在模块的测量区域中的工件并测量与工件的属性相关联的数据。
在本发明的替代性实施例中,可以在位于由搬送模块910限定的搬送室的内部空间的专用区内的测量区域中实施测量模块。更进一步地,可以以这样的方式结合测量模块:其中,该测量模块的至少一部分被定位在工件搬送模块的内部空间内,并且该测量模块的其他部件或该测量模块的特定检查系统被结合在工件搬送模块的外部并通过开孔或窗口接口连接到内部空间的专用区中,该专用区形成工件被定位在或者工件将通过的测量区域。
本发明的系统和平台的测量模块包括一个或多个检查系统,该一个或多个检查系统可操作用于测量与工件的属性相关联的数据。这种数据可以与反映加工序列的质量以及在工件上形成的层、特征和器件的质量的一个或多个属性相关联。然后,通过主动遮断控制系统分析所采集的测量数据以及加工模块数据,以检测工件或工件层/特征上的各种不一致性和/或缺陷。然后,该系统诸如在加工序列中的上游或下游加工模块中提供对工件的校正性加工,以改善/校正不一致性或缺陷并改进整个过程。
根据本发明的实施例,由测量模块或其检查系统进行的测量以及所生成的数据与工件的一个或多个属性相关联。例如,所测量的属性可以包括例如以下一项或多项:与在工件上制造的电子器件相关联的该工件上的层的层厚度、层保形性、层覆盖率、层轮廓、边缘放置位置、某些特征的边缘放置误差(EPE)、临界尺寸(CD)、块临界尺寸(CD)、网格临界尺寸(CD)、线宽粗糙度(LWR)、线边缘粗糙度(LER)、块LWR、栅格LWR、与(多个)选择性沉积工艺有关的特性、与(多个)选择性刻蚀工艺有关的特性、物理特性、光学特性、电特性、折射率、电阻、电流、电压、温度、质量、速度、加速度或其某种组合。用于生成本发明的测量数据的所测量属性的列表不限于此并且可以包括可用于加工工件和制造器件的其他属性数据。
如本文进一步讨论的,用于提供属性数据的测量模块和/或检查系统可以实施多种工具和方法进行测量,以提供本发明的测量和计量。测量模块和/或检查系统可以包括光学方法或非光学方法。光学方法可以包括高分辨率光学成像和显微镜检查(例如,明场、暗场、相干/非相干/部分相干、偏振、诺马斯基(Nomarski)等)、高光谱(多光谱)成像、干涉测量法(例如,相移、相位调制、微分干涉对比、外差法、傅立叶变换、频率调制等)、光谱法(例如,光发射、光吸收、各种波长范围、各种光谱分辨率等)、傅立叶变换红外光谱(FTIR)反射测量法、散射测量法、椭圆偏振光谱测量法、旋光测量法或折射仪。非光学方法可以包括电子方法(例如,RF、微波等)、声学方法、光声方法、质谱法、残余气体分析仪、扫描电子显微镜检查法(SEM)、透射电子显微镜检查法(TEM)、原子力显微镜检查法(AFM)、能量色散x射线光谱法(EDS)、x射线光发射光谱法(XPS)等。例如,用于测量与工件的属性相关联的数据的检查系统可以使用以下一种或多种技术或设备:光学薄膜测量,诸如反射测量法、干涉测量法、散射测量法、轮廓测量法、椭圆偏振测量法;X射线测量,诸如X射线光发射光谱法(XPS)、X射线荧光(XRF)、X射线衍射(XRD)、X射线反射测量法(XRR);离子散射测量,诸如离子散射光谱法、低能离子散射(LEIS)光谱法、俄歇电子光谱法、二次离子质谱法、反射吸收IR光谱法、电子束检查、粒子检查、粒子计数设备和检查、光学检查、掺杂剂浓度计量法、薄膜电阻率计量法(诸如4点探针)、涡流测量;微量天平、加速度计测量、电压探针、电流探针、用于热测量的温度探针、或应变仪。用于生成本发明的测量数据的测量技术或器件的列表不限于此并且可以包括可以用于获得用于根据本发明加工工件和制造器件的有用数据的其他技术或器件。
测量模块和/或检查系统可以对通过加工系统的各种衬底或工件结构(包括产品工件或非产品衬底)进行测量,即监测衬底。在产品工件上,可以在指定的目标结构(类似于器件的结构和不同于器件的结构)、指定的器件区或任意区上执行测量。测量还可以在工件上产生的测试结构上执行,这些测试结构可以包括间距结构、面积结构、密度结构等。
再次参考图9A,耦接到搬送室910的是多个加工模块920a至920d,该多个加工模块被配置为加工衬底,诸如半导体或硅(Si)工件。Si工件可以具有例如150mm、200mm、300mm、450mm或大于450mm的直径。各个加工模块和测量模块都通过例如带有阀G的适当的闸进入端口与工件搬送模块910接口连接。根据本文披露的本发明的一个实施例,第一加工模块920a可以在工件上执行处理工艺,并且第二加工模块920b可以在工件上形成自对准单层(SAM)。第三加工模块920c可以通过合适的选择性沉积工艺在工件上沉积膜,并且第四加工模块920d可以选择性地刻蚀或清洁工件。
搬送模块910被配置为在特定加工步骤之前或之后,在任何加工模块920a至920d之间搬送工件,并且然后将其搬送到计量模块916中。图9A进一步示出了闸阀G,这些闸阀在相邻的加工室/工具部件之间的进入端口处提供隔离。如图9A的实施例中所描绘的,根据本发明,加工模块920a至920d和计量模块916可以通过闸阀G直接耦接到搬送室910,并且这种直接耦接可以极大地提高衬底产量。
公共生产平台900包括一个或多个控制器或控制系统922,该一个或多个控制器或控制系统可以被耦接以在如本文所披露的集成加工和测量/计量过程期间控制图9A中描绘的各个加工模块和相关联的加工室/工具。控制器/控制系统922也可以耦接到一个或多个附加控制器/计算机/数据库(未示出)。控制系统922可以通过网络从附加控制器/计算机或服务器获得设置和/或配置信息。控制系统922用于配置和运行任何或所有加工模块和加工工具,并收集来自各个测量模块的数据和来自加工模块的原地数据以提供本发明的主动遮断。控制器922采集、提供、处理、存储和显示来自任何或所有加工模块和工具部件的数据。如本文进一步描述的,控制系统922可以包括多个不同的程序和应用以及处理引擎,用于分析所测量数据和原地加工数据并实施算法,诸如深度学习网络、机器学习算法、自主学习算法以及用于提供本发明的主动遮断的其他算法。
如本文进一步描述的,主动遮断控制系统922可以在具有微处理器、合适的存储器和数字I/O端口的一个或多个计算机设备中实施,并且能够生成足以进行通信、激活到平台900的各个模块的输入、并与在平台900上运行的衬底加工系统交换信息的控制信号和电压。控制系统922监测来自平台900的加工系统的输出以及来自平台的各个测量模块的所测量数据,以运行平台。例如,可以利用存储在控制系统922的存储器中的程序来根据工艺配方或序列激活到各种加工系统和搬送系统的输入,以便执行期望的集成工件加工。
控制系统922还使用所测量数据以及由加工模块输出的原地处理数据来检测工件中的不一致性或缺陷并提供校正性加工。如本文所讨论的,控制系统922可以被实施为通用计算机系统,该通用计算机系统响应于处理器执行包含在存储器的程序中的一个或多个指令的一个或多个序列,来执行本发明的基于微处理器的加工步骤的一部分或全部。可以从诸如硬盘或可移除介质驱动器等另一计算机可读介质将此类指令读入控制系统存储器中。多处理装置中的一个或多个处理器也可以用作控制系统微处理器元件,以执行包含在存储器中的指令序列。在替代性实施例中,可以使用硬接线电路系统代替软件指令或与软件指令结合来实施本发明。因此,实施例不限于用于执行如本文所讨论的本发明的计量驱动器过程的硬件电路系统和软件的任何特定组合。
主动遮断控制系统922可以相对于平台900本地定位,或者可以相对于平台900远程定位。例如,控制器922可以使用直接连接、内联网连接、互联网连接或无线连接中的至少一种与平台900交换数据。控制系统922可以耦接到例如客户站点(即,器件制造者等)处的内联网,或者它可以耦接到例如供应商站点(即,设备生产商)处的内联网。另外,例如,控制系统922可以通过适当的有线或无线连接耦接到其他系统或控件。此外,另一计算机(即,控制器、服务器等)可以访问例如控制系统922,以经由直接有线连接或无线连接(诸如内联网连接和/或互联网连接)中的至少一项来交换数据。如本领域技术人员还将理解的,控制系统922将经由适当的有线或无线连接与公共生产平台900的模块交换数据。加工模块可以具有它们自己独立的控制系统(未示出),该控制系统获取用于对加工室和工具以及模块的子系统进行控制的输入数据,并在加工序列期间在原地提供与加工参数和计量有关的输出数据。
具体参考图9A和图9B并且根据一个实施例,可以在测量/计量模块916中获得测量数据,该测量/计量模块是平台900上的耦接到搬送模块910的单独模块。通常,搬送模块910具有结合有一个或多个搬送机构或机械手914的腔室,该搬送机构或机械手将按加工序列将工件移送并移动通过腔室的内部空间并移入和移出加工模块。
更具体地,搬送机构914被定位在搬送模块910中可以限定受控环境的内部空间913的内部,并且被配置为使工件移动通过内部空间和环境并且选择性地将其移入和移出多个加工模块920a至920d和测量模块916或移入和移出内部空间的专用区中的测量区域,以供测量检查系统来测量数据。根据本发明的一个特征,因为搬送模块910的内部空间913和加工模块920a至920d以及测量模块916一起耦接在公共生产平台900上,所以通常可以在大部分或所有测量和加工序列上来维持工件的受控环境。这种受控环境可能涉及搬送模块或测量模块中的真空环境或惰性气体气氛。
搬送模块910包括多个进入端口或侧端口,每个进入端口或侧端口具有合适的闸G,通过这些闸,工件被移入和移出多个加工模块920a至920d。为了提供必要的加工序列以实现平台900上的高效产量,多个加工模块920a至920d包括在公共平台上处理各种工件加工步骤的模块,这些模块包括一个或多个刻蚀模块和一个或多个成膜或沉积模块。如图7A所展示的,测量模块916也在侧端口或进入端口之一处通过合适的闸G与搬送模块910耦接。在其他实施例中,测量模块在搬送模块顶部形成的端口处与搬送模块耦接。在如本文描述的又另一实施例中,搬送模块也用作测量模块,其中用于捕获测量数据的测量模块的至少一部分被结合到或定位在搬送模块的内部空间内。如图9C至图9D所展示的,在这种实施例中的搬送测量模块(TMM)包括位于搬送模块的内部空间的专用区内的测量区域。
主动遮断控制系统922通常在衬底按加工序列在加工模块中的一个或多个与测量/计量模块916之间移动时即时地采集工件测量数据。如本文讨论的,捕获数据,并且然后对其进行分析和处理,以检测不一致性和缺陷并提供校正性加工。主动遮断控制系统922对序列的加工步骤提供必要的控制,以对所执行的各种制造加工步骤进行控制调整,以便校正检测到的不一致性/缺陷。可以按顺序对在所捕获的测量数据之前或上游的加工步骤和加工模块和/或在测量数据之后或下游进行的加工步骤进行调整。可替代地,适当的校正性动作或校正性加工可以包括从平台900剔除工件,以便不在无法保存的工件上浪费另外的时间和材料。
参考图9B,展示了一个示例性测量模块916,该示例性测量模块结合有用于相对于在公共生产平台900上执行的加工序列实时地在工件上进行测量的检查系统930。
如本文所讨论的,检查系统930测量与工件的属性相关联的数据。检查系统930结合有一个或多个信号源932,其将测量信号934引导朝向工件936。入射信号934从工件936的表面反射或散射,并且所散射信号935被检测器940捕获。检测器940生成测量数据950,其然后可以被引导至如本文描述的主动遮断控制系统922。在一个实施例中,通过搬送机构914将工件936定位在测量平台938上,该测量平台可以如图9B中的箭头所示那样左右、上下平移和旋转,从而可以将测量信号934引导朝向工件936上的各种适当位置。
即,在图9B的实施例中,测量模块包括用于支撑定位在测量模块916中的工件936的单独的支撑机构938。检查系统与支撑机构938接合,用于测量与支撑在支撑机构上的工件的属性相关联的数据。在这种情况下,测量模块916中的支撑机构938通常与搬送机构分开,该搬送机构以其他方式移动工件936并将工件定位在支撑机构上。
单独的支撑机构诸如通过竖直和/或水平移动来平移工件936,并且还可以旋转工件936以提供至少两个自由度,以用于如本文所讨论的测量与工件936的属性相关联的数据。支撑机构还可以在其中结合用于控制工件温度的温度控制元件。因此,在图9B的实施例中,在通过搬送机构将工件936定位在支撑机构上之后,支撑机构提供对数据的测量所必需的工件936的支撑和移动。在替代性实施例中,搬送机构可以提供支撑和移动工件936以与检查系统930接合以测量与工件936上的属性相关联的数据的功能。
所捕获的测量数据950然后可以被引导到控制系统922,并且被进一步评估和分析以确定针对所测量的工件的特定动作。如果测量数据指示所测量的参数在所期望设计和制造工艺的规范之内和/或没有检测到可操作缺陷,则工件可以照常通过平台900内的过程流程进行。可替代地,如果所测量数据950指示工件无法得到校正或改善,则可能从进一步的加工中剔除工件。可替代地,根据本发明的实施例,主动遮断控制系统922可以分析数据并提供校正性加工,作为要对该工件采取的或者要在整个过程流程的各个加工步骤中进行的一个或多个校正步骤,以便校正当前的工件,并且还避免了对随后在平台900上加工的其他工件进行校正性动作的需要。具体地,参考图9B,主动遮断控制系统922可以在其中结合一个或多个加工步骤和加工部件,以产生对过程流程的校正。首先,如框954所展示的,可以捕获并预处理必要的测量数据950。接下来,如框956所展示的,对所捕获的数据以及与一个或多个加工模块和加工步骤相关联的任何原地加工数据进行建模和数据分析。建模和分析可以利用人工智能,包括深度学习和自主学习程序以及组件。接下来,分析可以提供校正性过程控制,其中,控制一个或多个加工步骤和加工模块以校正或改善相对于工件制造的整体设计不符合规范的层和特征中感知到或检测到的不一致性或缺陷。可以将框958的校正性过程控制提供给一个或多个加工步骤或加工模块,并且根据期望的设计在整个衬底制造内,可以将其应用于在时间上在捕获测量数据950之前(上游)的一个或多个加工步骤或者可以将其应用于捕获测量数据950之后(下游)的一个或多个加工步骤。主动遮断控制系统922及其过程(如框954、956和958所展示的)可以结合到由控制系统922的一个或多个计算机和/或该系统的组件运行的软件中。
根据本发明的实施例,用于获得测量数据的检查系统通过取决于所测量的属性或测量类型执行接触式测量或计量或非接触式测量或计量来接合工件。可以使用接触式测量和非接触式测量两者的组合。取决于检查系统的位置,检查系统的一部分可以部分或全部定位在模块的内部空间或腔室内。在如本文披露的图9A的实施例中,专用测量模块916可以完全包含检查系统。可替代地,测量模块的一部分可以被定位在腔室的内部空间内(诸如在工件搬送模块的内部空间内),而测量模块的另一部分位于腔室的外部。例如在图9D中展示了这种实施例,其中,使用位于搬送室的内部空间的专用区内的测量区域展示了搬送测量模块,并且检查系统被配置为接合定位于测量区域中的工件以测量与工件上的属性相关联的数据。
固持工件936的支撑机构938或搬送机构914可以被平移和旋转以提供对工件936上的各个区域的测量。以此方式,可以在整个工件的一部分或分段处捕获测量数据。因此,连续测量或逐点测量是可能的,从而减少了整体测量时间和加工时间。
例如,检查系统在工件的等于或超过1平方厘米的部分上测量数据。可替代地,检查系统对工件的实质性部分进行测量或成像,该实质性部分等于或超过工件的工作表面面积的90%。如上所述,检查系统可以在工件的工作表面上的多个离散位置处执行测量,或者可以在工件的一部分上执行连续的一系列测量。例如,检查系统可以沿着跨过或部分跨过工件延伸的路径执行测量。这种路径可以包括线、线序列、弧、圆曲线、螺旋曲线、阿基米德螺线、对数螺线、黄金螺线或其某种组合。而且,可能存在若干个检查系统,其中,源/检测器对932、940可以各自表示来自不同检查系统的不同检查信号,并且可以是不同形式的信号。例如,取决于检查系统,一个源/检测器对932、940可以使用光学信号,而另一源/检测器对932、940可以使用电磁信号。
如本文所讨论的,(多个)检查系统可以在工件处于测量模块中或搬送测量模块的专用区中时对工件上的属性执行多个测量。可以在时间上同时进行测量。即,不同的检查系统可能会同时进行测量。可替代地,各种检查系统可以在不同的时间操作。例如,可能需要将工件移动或定位在一个位置用于一种类型的测量或检查系统,并且然后将工件移动或定位以用于通过相同或不同类型的检查系统进行另一测量。
(多个)检查系统可以是用于提供非接触式测量和计量的非接触式系统。可替代地,测量模块或搬送测量模块的一个或多个检查系统可以使用接触式传感器,该传感器可以在工件的表面处移动并被定位在该表面处以进行测量。根据本发明提供的检查系统可以结合接触式检查系统和非接触式检查系统的组合,以收集与工件的属性相关联的测量数据。
如上所述,如在测量模块或搬送测量模块中实施的检查系统可以是静止的,而支撑机构或工件搬送机构移动工件以与检查系统接合并在工件的不同区中进行测量。可替代地,检查系统930或其某个部分可相对于工件支撑机构938、工件搬送机构914和模块而移动。检查系统可以被配置为相对于静止的工件平移和/或旋转,以从工件的区获得测量数据。
在本发明的其他实施例中,检查系统可以被嵌入在工件支撑机构中或嵌入其一部分。检查系统930可以被安装或支撑在支撑机构938上。然后,当将工件定位在支撑机构上时,工件将处于适当的位置以供检查系统接合。例如,检查系统930可以被嵌入在支撑机构中,以便位于被定位工件的下方或附近,从而提供与工件的质量测量或温度测量相关联的测量数据。
图9C展示了根据本发明的一个实施例的结合有搬送模块910’的公共生产平台900’,该公共生产平台利用专用区来形成测量区域,其中可以在中转期间从工件收集测量数据。以此方式,如本文所述,可以在保持在受控环境(诸如真空环境)内的同时加工和测量工件。工件不需要离开平台900’的环境即可确定过程如何进行并检测任何不一致性或缺陷。因此,如图9C所展示的实施例形成了可以与一个或多个加工模块一起利用的或作为公共生产平台的一部分的搬送测量模块(TMM)。此外,可以利用多个搬送测量模块并将它们接口连接在一起以协作并形成更大的公共生产平台。
结合到搬送测量模块(TMM)内的检查系统在如本文描述的其他检查系统中操作并与之类似。例如,如图9D所展示的此类检查系统仅展示了某些检查系统。然而,其他检查系统和特征(诸如以上所讨论的那些)也将适用于图9C所展示的搬送机构模块。这样,如本文先前所讨论的,在图9C至图9D中利用了一些公共的附图标记。
平台900’结合有提供测量/计量数据的工件搬送模块910’。搬送测量模块(TMM)910’在搬送室913的内部空间内包括诸如呈移送机械手914形式的工件搬送机构。搬送机构914可如在平台900中那样进行操作,以使一个或多个或多个工件移动通过搬送模块910’,并在耦接到公共生产平台中的搬送模块910’的各个加工模块之间移动。根据本发明的一个特征,搬送室913限定了内部空间,该内部空间包括用于测量的专用区。TMM 910’的测量区域915位于该专用区中。测量区域/区915靠近一个或多个检查系统930以进行测量。
更具体地,测量区域915被定位在搬送室913内,以便不会干扰搬送测量模块将工件移动通过加工序列并移入和移出各个加工模块的主要目的。测量区域限定用于放置工件以进行测量的一个或多个位置。为此,一个或多个检查系统被配置为接合被定位在搬送室913的测量区域中的工件。根据本发明,检查系统然后可操作用于测量与工件上的属性相关联的数据。如通过本文披露的检查系统所指出的,支撑机构可以位于测量区域915内,以用于在由检查系统采集测量数据期间支撑工件。可替代地,搬送机构914可以在搬送室的测量区域915内提供工件的定位和支撑。根据本发明的实施例,可以在加工序列期间将工件移入或移动穿过测量区域915,以从与该测量区域相关联的一个或多个检查系统获得测量数据。尽管出于说明目的在图9C中展示了单个测量区域,但是可以将多个测量区域915结合到TMM910’中。
参照图9D,TMM模块710’结合有位于测量区域915内的一个或多个检查系统930,并且提供了用于在加工序列期间获得实时测量结果和测量数据的能力。在一个实施例中,TMM910’内的测量区域915结合有支撑机构938,该支撑机构从机构914接收工件以用于在腔室913内进行测量。当工件在加工模块之间移动时捕获测量数据。如以上所讨论的,可替代地,搬送机构或机器人914实际上可以充当用于相对于TMM 910’中的检查系统930而移动工件的支撑机构。更进一步地,TMM 910’中的检查系统930还可以结合有静止的工件,其中,检查系统930本身移动。类似地,检查系统930可以作为支撑机构的一部分被结合或嵌入其中。
测量模块或检查系统930可以完全包含在TMM 910’中以进行测量。在其他实施例中,测量模块或检查系统的至少一部分被定位于TMM 910’的内部空间内,以便如图9D所示的那样在内部空间的专用区内限定测量区域,而其他部分可以驻留在TMM 910’的外部。更具体地,测量区域915被限定并且位于搬送室913的内部空间的专用区内。检查系统930的信号源和信号检测器元件可以位于搬送室的内部空间913的外部,而用于支撑工件936的工件支撑机构938和搬送机构914包含在搬送室913内。为此,检查信号934穿过适当的进入端口942(该进入端口对于检查信号934从检查系统930的通过实际上是透明的)并进入内部空间913以接合被定位在测量区域915中的工件936。如上所述,检查信号934可以包括电磁信号、光学信号、粒子束、带电粒子束或此类信号的某种组合。可以适当地形成进入端口942以与特定的检查系统和检查信号的源一起操作。例如,进入端口942可以包括窗口、开口、阀、光闸(shutter)和光圈,或用于形成进入端口的不同结构的某种组合,以允许入射检查信号与工件936接合。为此,检查系统930的至少一部分可以大体位于搬送室913的顶表面上方。
本领域技术人员将易于想到附加优势和修改。因此,本发明在其较宽的方面并不限于示出和描述的特定细节、代表性装置和方法以及说明性示例。因此,可以在不偏离总体发明概念的范围的情况下偏离这些细节。

Claims (40)

1.一种使用在公共生产平台上执行的集成的加工步骤序列来在半导体工件上进行自对准多重图案化的方法,该公共生产平台托管多个加工模块,该多个加工模块包括一个或多个成膜模块、一个或多个刻蚀模块和一个或多个搬送模块,该集成的加工步骤序列包括:
将工件接收到该公共生产平台中,该工件具有形成在其上的心轴图案,该心轴图案包括分隔开第一间距距离的多个第一特征;
使用该一个或多个成膜模块和该一个或多个刻蚀模块、至少部分地基于该心轴图案来形成侧壁间隔物图案,该侧壁间隔物图案包括分隔开第二间距距离的多个第二特征,其中,该第一间距距离大于该第二间距距离;
其中,该集成的加工步骤序列是在该公共生产平台内的受控环境中且不离开该受控环境的情况下执行的,并且其中,该一个或多个搬送模块用于在使该工件维持在该受控环境内的同时在该多个加工模块之间搬送该工件。
2.如权利要求1所述的方法,进一步包括:
在该受控环境内,获得与该侧壁间隔物图案的形成相关的测量数据,并且基于该测量数据确定该侧壁间隔物图案的厚度、宽度或轮廓是否满足目标条件。
3.如权利要求2所述的方法,其中,该多个加工模块包括校正模块,该方法进一步包括:
当确定该侧壁间隔物图案的厚度、宽度或轮廓不满足该目标条件时,在该校正模块中对该工件进行加工以更改该侧壁间隔物图案。
4.如权利要求2所述的方法,其中,该一个或多个搬送模块进一步包括位于该一个或多个搬送模块中的至少一个搬送模块的专用区内的工件测量区域,并且其中,该获得测量数据是在该工件在该多个加工模块之间的多次搬送中的至少一次搬送期间通过将该工件传递到该工件测量区域中来执行的。
5.如权利要求2所述的方法,其中,该公共生产平台包括一个或多个计量模块,并且其中,该获得测量数据是通过在该集成的加工步骤序列中的一个或多个加工步骤之间将该工件搬送到该计量模块中来执行的。
6.如权利要求2所述的方法,进一步包括:
使用托管在该公共生产平台上的智能系统、基于所获得的测量数据来控制在该公共生产平台上执行的集成的加工步骤序列。
7.如权利要求1所述的方法,其中,形成该侧壁间隔物图案包括自对准双重图案化工艺、自对准三重图案化工艺、自对准四重图案化工艺、或自对准八重图案化工艺。
8.一种在半导体工件上加工材料的方法,该方法包括集成的加工步骤序列,该集成的加工步骤序列包括:
将工件接收到公共生产平台中,该工件具有形成在其上的心轴图案,该心轴图案包括多条心轴线;
使用托管在该公共生产平台上的第一成膜模块在该心轴图案上保形地施加第一薄膜;
在不破坏真空的情况下,使用托管在该公共生产平台上的第一刻蚀模块从该心轴图案的上表面和与该心轴图案相邻的下表面上去除该第一薄膜,以留下该心轴图案的侧壁上的第一薄膜,从而形成第一侧壁间隔物;以及
在不破坏真空的情况下,使用托管在该公共生产平台上的第二刻蚀模块从该工件上去除该心轴图案,以留下这些第一侧壁间隔物,从而形成包括多个特征的新特征图案,这些特征的数量是这些心轴线数量的两倍,
其中,该公共生产平台包括一个或多个搬送模块,该一个或多个搬送模块用于在不破坏真空的情况下在该第一成膜模块、该第一刻蚀模块和该第二刻蚀模块之间搬送该工件。
9.如权利要求8所述的方法,进一步包括:
在不破坏真空的情况下,获得与该工件的一个或多个属性相关的测量数据,并且基于该测量数据确定该一个或多个属性是否满足目标条件。
10.如权利要求9所述的方法,其中,该一个或多个属性包括:如被接收到该公共生产平台中的工件的心轴图案的属性、如被接收到该公共生产平台中的工件的底层的属性、如被保形地施加的第一薄膜的属性、在保形地施加该第一薄膜之后该心轴图案的属性、在保形地施加该第一薄膜之后该底层的属性、在去除该第一薄膜之后该心轴图案的这些侧壁上的这些侧壁间隔物的属性、在去除该第一薄膜之后该心轴图案的属性、在去除该第一薄膜之后该底层的属性、在去除该心轴图案之后这些侧壁间隔物的属性、或在去除该心轴图案之后该底层的属性。
11.如权利要求10所述的方法,其中,该多个加工模块包括校正模块,该方法进一步包括:
当确定该一个或多个属性不满足该目标条件时,在该校正模块中对该工件进行加工以更改该一个或多个属性。
12.如权利要求11所述的方法,其中,所获得的测量数据用于确定该工件上该新特征图案形成的缺陷率、膜保形性、厚度、均匀性和/或选择性,并且其中,在该校正模块中对该工件进行该加工包括:
当该第一薄膜的该一个或多个属性不满足该第一薄膜的目标条件时,修复保形地施加的第一薄膜;
当这些第一侧壁间隔物的该一个或多个属性不满足这些第一侧壁间隔物的目标条件时,修复这些第一侧壁间隔物。
13.如权利要求9所述的方法,其中,该公共生产平台包括计量模块,该计量模块包括:至少一个光源,该至少一个光源用于引导入射在该工件的测量表面上的诊断光束;以及至少一个检测器,该至少一个检测器被布置成接收从该工件的测量表面散射的诊断信号,该集成的加工步骤序列进一步包括:
在不破坏真空的情况下,将该工件搬送到该计量模块中,并且获得与该工件的该一个或多个属性相关的测量数据,其中,该测量数据是在该集成的加工步骤序列中的一个或多个加工步骤之间获得的。
14.如权利要求9所述的方法,其中,该一个或多个搬送模块进一步包括位于该一个或多个搬送模块中的至少一个搬送模块的专用区内的工件测量区域,该集成的加工步骤序列进一步包括:
在不破坏真空的情况下,将该工件传递到该工件测量区域中,并且获得与该工件的该一个或多个属性相关的测量数据,其中,该测量数据是在该集成的加工步骤序列中的一个或多个加工步骤之间获得的。
15.如权利要求14所述的方法,其中,该测量数据是在该集成的加工步骤序列中的每个该加工步骤之后获得的,并且其中,该多个加工模块包括校正模块,该方法进一步包括:
当在这些加工步骤中的一个加工步骤之后获得的测量数据指示该一个或多个属性不满足该目标条件时,在该校正模块中对该工件进行加工以更改该一个或多个属性,然后再执行该集成的加工步骤序列中的下一个加工步骤。
16.如权利要求15所述的方法,该集成的加工步骤序列进一步包括:
在不破坏真空的情况下,使用托管在该公共生产平台上的第二成膜模块在该新特征图案上保形地施加第二薄膜;
在不破坏真空的情况下,使用托管在该公共生产平台上的第三刻蚀模块从该新特征图案的上表面和与该新特征图案相邻的下表面上去除该第二薄膜,以留下该新特征图案的侧壁上的第二薄膜,从而形成第二侧壁间隔物;以及
在不破坏真空的情况下,使用托管在该公共生产平台上的第四刻蚀模块从该工件上去除该新特征图案,以留下这些第二侧壁间隔物,这些第二侧壁间隔物的数量是这些心轴线数量的四倍。
17.如权利要求15所述的方法,该集成的加工步骤序列进一步包括:
在不破坏真空且不退出该公共生产平台的情况下,使用该一个或多个搬送模块、在第二连续轮次中在该第一成膜模块、该第一刻蚀模块和该第二刻蚀模块之间搬送该工件,以实现:在该新特征图案上保形地施加第二薄膜,从该新特征图案的上表面和与该新特征图案相邻的下表面上去除该第二薄膜以留下该新特征图案的侧壁上的第二薄膜从而形成第二侧壁间隔物,并且从该工件上去除该新特征图案以留下这些第二侧壁间隔物,这些第二侧壁间隔物的数量是这些心轴线数量的四倍。
18.一种在半导体工件上加工材料的方法,该方法包括集成的加工步骤序列,该集成的加工步骤序列包括:
将工件接收到公共生产平台中,该工件具有形成在其上的心轴图案,该心轴图案包括多条心轴线;
使用托管在该公共生产平台上的第一成膜模块在该心轴图案上保形地施加第一薄膜;
在不破坏真空的情况下,使用托管在该公共生产平台上的第一刻蚀模块从该心轴图案的上表面和与该心轴图案相邻的下表面上去除该第一薄膜,以留下该心轴图案的侧壁上的第一薄膜,从而形成第一侧壁间隔物;
在不破坏真空的情况下,在托管在该公共生产平台上的第二成膜模块中在这些第一侧壁间隔物和心轴图案上保形地施加第二薄膜;
在不破坏真空的情况下,在托管在该公共生产平台上的第二刻蚀模块中从这些第一侧壁间隔物和心轴图案的上表面和与这些第一侧壁间隔物相邻的下表面上去除该第二薄膜,以留下这些第一侧壁间隔物的侧壁上的第二薄膜,从而形成第二侧壁间隔物;
在不破坏真空的情况下,使用托管在该公共生产平台上的第三刻蚀模块从该工件上去除这些第一侧壁间隔物,以留下这些第二侧壁间隔物和心轴图案,并且从而形成包括多个特征的新特征图案,这些特征的数量是这些心轴线数量的三倍,
其中,该公共生产平台包括一个或多个搬送模块,该一个或多个搬送模块用于在不破坏真空的情况下在该第一成膜模块、该第二成膜模块、该第一刻蚀模块、该第二刻蚀模块和该第三刻蚀模块之间搬送该工件。
19.如权利要求18所述的方法,其中,该一个或多个搬送模块进一步包括位于该一个或多个搬送模块中的至少一个搬送模块的专用区内的工件测量区域,该集成的加工步骤序列进一步包括:
在不破坏真空的情况下,将该工件传递到该工件测量区域中,并且获得与该工件的一个或多个属性相关的测量数据,并且基于该测量数据确定该一个或多个属性是否满足目标条件,其中,该测量数据是在该集成的加工步骤序列中的一个或多个加工步骤之间获得的。
20.如权利要求19所述的方法,其中,该测量数据是在该集成的加工步骤序列中的每个该加工步骤之后获得的,并且其中,该多个加工模块包括校正模块,该方法进一步包括:
当在这些加工步骤中的一个加工步骤之后获得的测量数据指示该一个或多个属性不满足该目标条件时,在该校正模块中对该工件进行加工以更改该一个或多个属性,然后再执行该集成的加工步骤序列中的下一个加工步骤。
21.一种使用在公共生产平台上执行的集成的加工步骤序列来在半导体工件上进行自对准多重图案化的方法,该公共生产平台托管多个加工模块,该多个加工模块包括一个或多个成膜模块、一个或多个刻蚀模块和一个或多个搬送模块,该集成的加工步骤序列包括:
将工件接收到该公共生产平台中,该工件具有形成在其上的心轴图案,该心轴图案包括分隔开第一间距距离的多个第一特征;
使用该一个或多个成膜模块和该一个或多个刻蚀模块、至少部分地基于该心轴图案来形成侧壁间隔物图案,该侧壁间隔物图案包括分隔开第二间距距离的多个第二特征,其中,该第一间距距离大于该第二间距距离;
获得与该侧壁间隔物图案的形成相关的测量数据,该测量数据用于确定该侧壁间隔物图案的厚度、宽度或轮廓;
当该侧壁间隔物图案的厚度、宽度或轮廓不满足该侧壁间隔物图案的目标厚度、目标宽度或目标轮廓时,通过以下操作来修复该侧壁间隔物图案:(i)将附加材料选择性地沉积到结构上、(ii)将附加材料保形地沉积到结构上、(iii)重塑结构、(iv)刻蚀结构、(v)将掺杂剂注入到结构中、(vi)去除并重新施加结构的材料层、或其中两项或更多项的任意组合;并且
其中,该集成的加工步骤序列是在该公共生产平台内的受控环境中且不离开该受控环境的情况下执行的,并且其中,该一个或多个搬送模块用于在使该工件维持在该受控环境内的同时在该多个加工模块之间搬送该工件。
22.如权利要求21所述的方法,其中,形成该侧壁间隔物图案包括:
在该一个或多个成膜模块之一中在该心轴图案上保形地施加薄膜;
在该一个或多个刻蚀模块之一中从该心轴图案的上表面和与该心轴图案相邻的下表面上去除该薄膜,以留下该心轴图案的侧壁上的薄膜,从而形成侧壁间隔物;
在该一个或多个刻蚀模块之一中从该工件上去除该心轴图案,以留下这些侧壁间隔物,其中,这些侧壁间隔物形成特征数量是该去除的心轴图案的数倍的侧壁间隔物图案。
23.如权利要求22所述的方法,其中,修复该侧壁间隔物图案包括:
当该薄膜的保形性或均匀性不满足该薄膜的目标保形性或目标均匀性时,通过以下操作来修复该保形地施加的薄膜:去除该薄膜并重新施加该薄膜、保形地施加附加薄膜、刻蚀该薄膜、或其中两项或更多项的组合;以及
当这些侧壁间隔物的厚度、宽度或轮廓不满足这些侧壁间隔物的目标厚度、目标宽度或目标轮廓时,通过以下操作来修复这些侧壁间隔物:将附加材料选择性地沉积到这些侧壁间隔物上、重塑这些侧壁间隔物、将掺杂剂注入到这些侧壁间隔物中、或其中两项或更多项的组合。
24.如权利要求22所述的方法,其中,该一个或多个成膜模块至少包括用于保形地施加该薄膜的第一成膜模块,并且其中,该一个或多个刻蚀模块至少包括用于去除该薄膜的第一刻蚀模块和用于去除该心轴图案的第二刻蚀模块。
25.如权利要求22所述的方法,其中,该集成的序列进一步包括:
使用该侧壁间隔物图案作为另一心轴图案,并且将以下这些加工步骤重复一次或多次:保形地施加薄膜、去除该薄膜和去除其他心轴图案,其中,每一次重复都会使这些特征的数量倍增,直到实现目标图案为止。
26.如权利要求25所述的方法,其中,该一个或多个成膜模块至少包括用于保形地施加该薄膜的第一成膜模块和用于每次对保形地施加该薄膜的步骤进行重复的附加成膜模块,并且其中,该一个或多个刻蚀模块至少包括用于去除该薄膜的第一刻蚀模块、用于去除该心轴图案的第二刻蚀模块和用于每次对去除该薄膜的步骤和去除其他心轴图案的步骤进行重复的两个附加刻蚀模块。
27.如权利要求22所述的方法,其中,去除该薄膜包括在钝化该薄膜与刻蚀该薄膜之间交替进行。
28.如权利要求22所述的方法,其中,去除该心轴图案包括在钝化该心轴图案与刻蚀该心轴图案之间交替进行,直到从该工件上去除该心轴图案的多个特征为止。
29.如权利要求22所述的方法,其中,该心轴图案包括选自由以下各项组成的一组材料中的材料:硅、非晶碳和光致抗蚀剂聚合物。
30.如权利要求22所述的方法,其中,该薄膜包括氧化物层、氮化物层、或其组合。
31.如权利要求21所述的方法,其中,形成该侧壁间隔物图案包括:
在该一个或多个成膜模块之一中在该心轴图案上保形地施加第一薄膜;
在该一个或多个刻蚀模块之一中从该心轴图案的上表面和与该心轴图案相邻的下表面上去除该薄膜,以留下该心轴图案的侧壁上的第一薄膜,从而形成第一侧壁间隔物;
在该一个或多个成膜模块之一中在这些第一侧壁间隔物和心轴图案上保形地施加第二薄膜;
在该一个或多个刻蚀模块之一中从这些第一侧壁间隔物和心轴图案的上表面和与这些第一侧壁间隔物相邻的下表面上去除该第二薄膜,以留下这些第一侧壁间隔物的侧壁上的第二薄膜,从而形成第二侧壁间隔物;以及
在该一个或多个刻蚀模块之一中从该工件上去除这些第一侧壁间隔物,以留下这些第二侧壁间隔物和心轴图案,从而形成特征数量是该去除的心轴图案的数倍的特征图案。
32.如权利要求31所述的方法,其中,修复该侧壁间隔物图案包括:
当该第一薄膜的保形性或均匀性不满足该第一薄膜的目标保形性或目标均匀性时,通过以下操作来修复该保形地施加的第一薄膜:去除该第一薄膜并重新施加该第一薄膜、保形地施加附加薄膜、刻蚀该第一薄膜、或其中两项或更多项的组合;
当该第二薄膜的保形性或均匀性不满足该第二薄膜的目标保形性或目标均匀性时,通过以下操作来修复该保形地施加的第二薄膜:去除该第二薄膜并重新施加该第二薄膜、保形地施加附加薄膜、刻蚀该第二薄膜、或其中两项或更多项的组合;
当这些第一侧壁间隔物的厚度、宽度或轮廓不满足这些第一侧壁间隔物的目标厚度、目标宽度或目标轮廓时,通过以下操作来修复这些第一侧壁间隔物:将附加材料选择性地沉积到这些第一侧壁间隔物上、重塑这些第一侧壁间隔物、将掺杂剂注入到这些第一侧壁间隔物中、或其中两项或更多项的组合;或者
当这些第二侧壁间隔物的厚度、宽度或轮廓不满足这些第二侧壁间隔物的目标厚度、目标宽度或目标轮廓时,通过以下操作来修复这些第二侧壁间隔物:将附加材料选择性地沉积到这些第二侧壁间隔物上、重塑这些第二侧壁间隔物、将掺杂剂注入到这些第二侧壁间隔物中、或其中两项或更多项的组合。
33.如权利要求31所述的方法,其中,该一个或多个成膜模块至少包括用于保形地施加该第一薄膜的第一成膜模块和用于保形地施加该第二薄膜的第二成膜模块,并且其中,该一个或多个刻蚀模块至少包括用于去除该第一薄膜的第一刻蚀模块、用于去除该第二薄膜的第二刻蚀模块和用于去除这些第一侧壁间隔物的第三刻蚀模块。
34.如权利要求31所述的方法,其中,去除该第一薄膜和该第二薄膜包括在钝化该第一薄膜和该第二薄膜与刻蚀该第一薄膜和该第二薄膜之间交替进行。
35.如权利要求31所述的方法,其中,去除这些第一侧壁间隔物包括在钝化这些第一侧壁间隔物与刻蚀这些第一侧壁间隔物之间交替进行,直到从该工件上去除这些第一侧壁间隔物为止。
36.如权利要求21所述的方法,其中,该受控环境包括真空环境、惰性气体气氛、或其组合。
37.如权利要求36所述的方法,其中,该一个或多个成膜模块包括真空环境,并且该一个或多个搬送模块在不破坏真空的情况下将该工件搬入和搬出该一个或多个成膜模块。
38.如权利要求36所述的方法,其中,该一个或多个刻蚀模块包括在真空环境下操作的至少一个干法刻蚀模块,并且该一个或多个搬送模块在不破坏真空的情况下将该工件搬入和搬出该至少一个干法刻蚀模块。
39.如权利要求21所述的方法,其中,该一个或多个搬送模块进一步包括位于该一个或多个搬送模块中的至少一个搬送模块的专用区内的工件测量区域,并且其中,该获得测量数据是在该工件在该多个加工模块之间的多次搬送中的至少一次搬送期间通过将该工件传递到该工件测量区域中来执行的。
40.如权利要求21所述的方法,其中,该公共生产平台包括一个或多个计量模块,并且其中,该获得测量数据是在不离开该受控环境的情况下通过在该集成的加工步骤序列中的一个或多个加工步骤之间将该工件搬送到该计量模块中来执行的。
CN201980032362.XA 2018-03-20 2019-03-18 自对准多重图案化的方法和半导体加工方法 Active CN112189255B (zh)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
US201862784151P 2018-12-21 2018-12-21
US62/784,151 2018-12-21
US201962787608P 2019-01-02 2019-01-02
US201962787607P 2019-01-02 2019-01-02
US62/787,608 2019-01-02
US62/787,607 2019-01-02
US201962788195P 2019-01-04 2019-01-04
US62/788,195 2019-01-04
PCT/US2019/022719 WO2019182961A1 (en) 2018-03-20 2019-03-18 Platform and method of operating for integrated end-to-end self-aligned multi-patterning process

Publications (2)

Publication Number Publication Date
CN112189255A true CN112189255A (zh) 2021-01-05
CN112189255B CN112189255B (zh) 2024-05-28

Family

ID=67983246

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980032362.XA Active CN112189255B (zh) 2018-03-20 2019-03-18 自对准多重图案化的方法和半导体加工方法

Country Status (6)

Country Link
US (2) US11398379B2 (zh)
JP (2) JP7395094B2 (zh)
KR (2) KR20200124304A (zh)
CN (1) CN112189255B (zh)
TW (2) TW201946103A (zh)
WO (1) WO2019182961A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200124304A (ko) * 2018-03-20 2020-11-02 도쿄엘렉트론가부시키가이샤 통합형 종단간 자기 정렬 다중 패터닝 공정을 위한 플랫폼 및 작업 방법
US11084225B2 (en) 2018-04-02 2021-08-10 Nanotronics Imaging, Inc. Systems, methods, and media for artificial intelligence process control in additive manufacturing
US10930531B2 (en) * 2018-10-09 2021-02-23 Applied Materials, Inc. Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US11437250B2 (en) * 2018-11-15 2022-09-06 Tokyo Electron Limited Processing system and platform for wet atomic layer etching using self-limiting and solubility-limited reactions
US11315787B2 (en) * 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes
US11156991B2 (en) * 2019-06-24 2021-10-26 Nanotronics Imaging, Inc. Predictive process control for a manufacturing process
KR20220054673A (ko) 2019-09-10 2022-05-03 나노트로닉스 이미징, 인코포레이티드 제조 공정을 위한 시스템, 방법 및 매체
US11063965B1 (en) 2019-12-19 2021-07-13 Nanotronics Imaging, Inc. Dynamic monitoring and securing of factory processes, equipment and automated systems
US11086988B1 (en) 2020-02-28 2021-08-10 Nanotronics Imaging, Inc. Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
KR20230025698A (ko) * 2020-06-18 2023-02-22 나노트로닉스 이미징, 인코포레이티드 제조 공정을 위한 시스템, 방법 및 매체
TWI730821B (zh) * 2020-06-22 2021-06-11 力晶積成電子製造股份有限公司 多重圖案化方法
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11908754B2 (en) * 2021-03-04 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for controlling profile of critical dimension
US20220359201A1 (en) * 2021-05-06 2022-11-10 Applied Materials, Inc. Spacer patterning process with flat top profile
US11866831B2 (en) 2021-11-09 2024-01-09 Tokyo Electron Limited Methods for wet atomic layer etching of copper
CN116072536B (zh) * 2023-03-03 2023-07-04 长鑫存储技术有限公司 半导体结构制备方法及半导体结构

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1945792A (zh) * 2005-03-31 2007-04-11 尔必达存储器股份有限公司 在干燥工艺中用于防止图案倾斜的半导体器件的制造方法
TW200834778A (en) * 2006-12-13 2008-08-16 Applied Materials Inc Integrated vacuum metrology for cluster tool
CN101297391A (zh) * 2005-09-01 2008-10-29 美光科技公司 具有用于间距倍增的间隔物的掩膜图案及其形成方法
CN101490807A (zh) * 2006-07-10 2009-07-22 美光科技公司 在半导体装置及包含半导体装置的系统形成期间使用交替间隔物沉积的间距减小技术
US20100009470A1 (en) * 2008-07-11 2010-01-14 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
CN103578932A (zh) * 2012-08-03 2014-02-12 中芯国际集成电路制造(上海)有限公司 实现自对准型双重图形的方法
US20140154887A1 (en) * 2012-12-03 2014-06-05 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates
CN105209402A (zh) * 2013-02-28 2015-12-30 维易科精密表面处理有限责任公司 用于执行湿法蚀刻工艺的系统和方法
US20160225640A1 (en) * 2015-02-02 2016-08-04 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
TW201703116A (zh) * 2015-04-08 2017-01-16 東京威力科創股份有限公司 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4408537A1 (de) * 1994-03-14 1995-09-21 Leybold Ag Vorrichtung für den Transport von Substraten
JP2000352505A (ja) * 1999-04-05 2000-12-19 Toshiba Corp 膜厚測定方法および装置、薄膜処理装置並びに半導体装置の製造方法
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US20070264106A1 (en) * 2003-11-10 2007-11-15 Van Der Meulen Peter Robotic components for semiconductor manufacturing
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US20110308453A1 (en) * 2008-01-31 2011-12-22 Applied Materials, Inc. Closed loop mocvd deposition control
NL2003919A (en) * 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8450833B2 (en) * 2010-08-20 2013-05-28 Globalfoundries Inc. Spacer double patterning that prints multiple CD in front-end-of-line
JP2012049306A (ja) * 2010-08-26 2012-03-08 Hitachi High-Technologies Corp プラズマ処理装置
US9324594B2 (en) * 2010-12-22 2016-04-26 Brooks Automation, Inc. Workpiece handling modules
JP5473962B2 (ja) * 2011-02-22 2014-04-16 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
CN106461549B (zh) * 2014-03-10 2019-07-30 诺威量测设备股份有限公司 用于图案化结构中的测试结构及利用测试结构的计量技术
JP6227466B2 (ja) 2014-04-14 2017-11-08 株式会社日立ハイテクノロジーズ 荷電粒子線装置および検査装置
US9165765B1 (en) * 2014-09-09 2015-10-20 Tokyo Electron Limited Method for patterning differing critical dimensions at sub-resolution scales
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
KR102463922B1 (ko) * 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 미세 패턴 형성 방법
KR20180025448A (ko) * 2016-08-31 2018-03-09 세메스 주식회사 기판 처리 장치 및 방법
WO2018094071A1 (en) * 2016-11-16 2018-05-24 Tokyo Electron Limited Method for regulating hardmask over-etch for multi-patterning processes
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10790154B2 (en) * 2018-02-07 2020-09-29 Tokyo Electron Limited Method of line cut by multi-color patterning technique
KR102580108B1 (ko) * 2018-03-20 2023-09-18 도쿄엘렉트론가부시키가이샤 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법
KR20200124304A (ko) * 2018-03-20 2020-11-02 도쿄엘렉트론가부시키가이샤 통합형 종단간 자기 정렬 다중 패터닝 공정을 위한 플랫폼 및 작업 방법
WO2019182952A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10727143B2 (en) * 2018-07-24 2020-07-28 Lam Research Corporation Method for controlling core critical dimension variation using flash trim sequence

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1945792A (zh) * 2005-03-31 2007-04-11 尔必达存储器股份有限公司 在干燥工艺中用于防止图案倾斜的半导体器件的制造方法
CN101297391A (zh) * 2005-09-01 2008-10-29 美光科技公司 具有用于间距倍增的间隔物的掩膜图案及其形成方法
CN101490807A (zh) * 2006-07-10 2009-07-22 美光科技公司 在半导体装置及包含半导体装置的系统形成期间使用交替间隔物沉积的间距减小技术
TW200834778A (en) * 2006-12-13 2008-08-16 Applied Materials Inc Integrated vacuum metrology for cluster tool
US20100009470A1 (en) * 2008-07-11 2010-01-14 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
CN103578932A (zh) * 2012-08-03 2014-02-12 中芯国际集成电路制造(上海)有限公司 实现自对准型双重图形的方法
US20140154887A1 (en) * 2012-12-03 2014-06-05 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates
TW201428824A (zh) * 2012-12-03 2014-07-16 Applied Materials Inc 半導體裝置處理工具及用於將基板型樣化的方法
CN105209402A (zh) * 2013-02-28 2015-12-30 维易科精密表面处理有限责任公司 用于执行湿法蚀刻工艺的系统和方法
US20160225640A1 (en) * 2015-02-02 2016-08-04 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
TW201703116A (zh) * 2015-04-08 2017-01-16 東京威力科創股份有限公司 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法

Also Published As

Publication number Publication date
WO2019182961A1 (en) 2019-09-26
KR20200124304A (ko) 2020-11-02
TW201946103A (zh) 2019-12-01
CN112189255B (zh) 2024-05-28
TWI835781B (zh) 2024-03-21
JP2021518675A (ja) 2021-08-02
US20190295846A1 (en) 2019-09-26
US20190295906A1 (en) 2019-09-26
US11398379B2 (en) 2022-07-26
JP7395094B2 (ja) 2023-12-11
TW201946145A (zh) 2019-12-01
US10727057B2 (en) 2020-07-28
KR20240015746A (ko) 2024-02-05
JP2023134804A (ja) 2023-09-27

Similar Documents

Publication Publication Date Title
CN112189255B (zh) 自对准多重图案化的方法和半导体加工方法
US11594451B2 (en) Platform and method of operating for integrated end-to-end fully self-aligned interconnect process
US11302588B2 (en) Platform and method of operating for integrated end-to-end area-selective deposition process
US6858361B2 (en) Methodology for repeatable post etch CD in a production tool
US10964608B2 (en) Platform and method of operating for integrated end-to-end gate contact process
US20190393105A1 (en) Protective coating on photoresist for photoresist metrology
US20120045721A1 (en) Method for forming a self-aligned double pattern
US20120045722A1 (en) Technique to form a self-aligned double pattern

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant