TW201946145A - 用於整合型頭尾相接式自對準多重圖案化製程之操作站台和方法 - Google Patents

用於整合型頭尾相接式自對準多重圖案化製程之操作站台和方法 Download PDF

Info

Publication number
TW201946145A
TW201946145A TW108109361A TW108109361A TW201946145A TW 201946145 A TW201946145 A TW 201946145A TW 108109361 A TW108109361 A TW 108109361A TW 108109361 A TW108109361 A TW 108109361A TW 201946145 A TW201946145 A TW 201946145A
Authority
TW
Taiwan
Prior art keywords
film
workpiece
module
modules
etching
Prior art date
Application number
TW108109361A
Other languages
English (en)
Other versions
TWI835781B (zh
Inventor
羅伯特 克拉克
理查 法雷爾
坎達巴拉 泰伯利
安潔莉 萊利
蘇菲 蒂博
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201946145A publication Critical patent/TW201946145A/zh
Application granted granted Critical
Publication of TWI835781B publication Critical patent/TWI835781B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)

Abstract

提供一種用於半導體工件上之自對準多圖案化方法,其利用於共同製造站台上執行之整合型處理步驟序列,該共同製造站台設有複數成膜模組、複數蝕刻模組及複數轉移模組。使其上形成有心軸圖案之工件被接收至共同製造站台中。至少部分地基於心軸圖案,以形成側壁間隔物圖案,該側壁間隔物圖案具有以第二間距相隔之複數第二特徵,第一間距大於第二間距。該整合型處理步驟序列係於共同製造站台內執行,且不離開受控環境,而轉移模組係用以使工件於處理模組之間轉移,同時保持該工件於該受控環境內。概括地說,此是在共同製造站台上利用選擇性/共形沉積、蝕刻或注入技術來形成側壁間隔物圖案。

Description

用於整合型頭尾相接式自對準多重圖案化製程之操作站台和方法
[相關申請案之相互引用] 本申請主張2018年3月20日申請之美國臨時申請案第62/645,685號(其發明名稱為「Substrate Processing Tool with Integrated Metrology and Method of Using」)、2018年12月21日申請之美國臨時申請案第62/784,151號(其發明名稱為「Platform and Method for Operating for Integrated End-to-End Self Aligned Multiple Patterning Process」)、2019年1月2日申請之美國臨時申請案第62/787,607號(其發明名稱為「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same」)、2019年1月2日申請之美國臨時申請案第62/787,608號(其發明名稱為「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same」)、以及2019年1月4日申請之美國臨時申請案第62/788,195號(其發明名稱為「Substrate Processing Tool with Integrated Metrology and Method of using」)之優先權,其全部揭露內容皆併於此作為參考。
本發明係關於一種處理站台以及使用該站台之半導體處理方法,尤指一種自對準多重圖案化(SAMP)之方法。
SAMP技術已被用於形成鰭式場效電晶體(fin-type field effect transistor, FinFET)裝置的構件及其類似物。尺寸縮小是積體電路加工發展的趨動力之一。透過減小尺寸,可獲得成本效益及裝置效能提升。此等可調尺寸能力(scalability)在製作流程上產生了無法避免的複雜性,尤其是在圖案化技術上。隨著製作更小的電晶體,圖案化特徵的臨界尺寸(critical dimension,CD)或解析度對生產變得更具挑戰性,尤其是大量生產。自對準圖案化需取代疊對導向(overlay-driven)圖案化,俾可繼續進行具成本效益的微縮(scaling)。在大量製造環境中,需要能夠降低可變性、擴展微縮、以及提高CD和製程控制的圖案化選擇;然而,要以合理低成本及高良率來生產微縮裝置變得極其困難。
習知SAMP流程具有幾個步驟,包括心軸(或芯)形成、間隔物沉積、間隔物蝕刻和心軸拔除。於此法中,最終特徵臨界尺寸(CD)係由工件屬性所控制,包括間隔物沉積厚度和間隔物理特徵,如線邊緣粗糙度(LER)及線寬粗糙度(LWR)。此外,間隔物蝕刻常碰到最終間隔物輪廓(如間隔物刻面)變形問題及CD減損。保持間隔物輪廓及CD是重要的,因為間隔物輪廓對最終結構上的間距異動 (pitch-walking)效應、遮罩預算(mask budget)及CD標的具有實質的影響。處理技術的進一步問題包括,因不均勻蝕刻導致的間隔物高度減損及芯材料與間隔物材料之間缺乏選擇性。此外,間隔物材料不適當的蝕刻可能導致間隔物基腳化(footing)、芯至間隔物梯段高度差(core-to-spacer step height differences)及其類似者。此等製造缺陷可能造成進一步的裝置缺陷、降低產品生產率、限制製作裝置尺寸等。就SAMP製作流程中之多個操作而言,暫時工具偏移是個問題,特別是邊界置放誤差(edge placement error, EPE)可能超出容許程度。EPE是所欲設計與實際結果之間的差異,其定義為引起阻擋遮罩之置放誤差及製程偏移之變數總和。EPE係以數值表示,且目標EPE值是為了給定的製作流程而定義。簡言之,EPE等於各種指標-CD均勻度、覆蓋率、線邊緣粗糙度(LER)及變異的組合。
當裝置微縮至越來越小特徵,並實施技術以企圖解決微縮所導致的問題時,於流程之各種階段中監控製造過程是重要的,以確定特徵屬性是否於規格內,若不在規格內,則調整製程使工件於規格內或使後續處理工件於規格內。
於習知SAMP中,製程是利用多個用於大量製造的分開獨立工具來進行。將晶圓依序載入一工具中,並於該工具中進行一製程步驟,接著移至周圍環境並置於隊列中以待載入下一工具等,直到完成SAMP流程的多個步驟。在隊列中等待每個工具所花費的時間稱為Q時間,而高Q時間會導致較低的生產率。流程中的不同操作可能花費不同的時間量,使得工具的產出匹配成為生產挑戰。
流程之每個工具可為工具叢集的一部份。例如,可叢集五個相同蝕刻工具與一轉移工具組合,使得5個晶圓可在流程的一個步驟中同時進行蝕刻,以達到大量生產。若工具因任何原因停止運作,該些叢集工具之多重性將帶來好處。若5個工具的叢集中有1個工具停止運作一個禮拜,仍可繼續生產,儘管只有80%的產能。因此,SAMP流程中的每個獨立工具可為相同工具的叢集,以防止服務工具停止運作而導致生產完全停擺,而叢集可將產出匹配挑戰降至最低。
於習知SAMP中,若需要測量以確定製程是否於規格內操作,可包括獨立的度量工具,其中週期性地於流程中移出工件以進行測量,所述測量通常是使用工件上的測量墊進行破壞性測量,其結果可以反饋至流程工具,以調整流程中的下游步驟,或調整未來晶圓的上游步驟。該過程涉及暴露於周圍環境、等待可用度量工具的Q時間、以及獲得結果的冗長測量時間,因此取得能夠以反饋或前饋方式對流程進行調整之數據之前可能經過大量時間。雖然於處理室中進行工件屬性之即時測量是理想的,但是測量裝置暴露於處理氣體是有問題的,此使得即時原位測量及控制在邏輯上變得困難或不可能。
因此,使用多個分開的獨立工具(單個或叢集)進行大量製造的傳統方法可能會導致問題,其包括但不限於,Q時間氧化(即,晶圓位於工具之間,等待輪到下一個工具時,其可能受到來自周圍環境的氧化)、工具之間環境暴露所導致的缺陷、因產出匹配困難導致的成本挑戰、暫時工具的偏移(例如EPE)、即時腔室匹配(例如,產率和EPE)、 缺乏即時工件測量和製程控制。需要解決這些問題和其他問題,以便能夠使用SAMP技術進行大量製造。
根據具體實施例,提供一種用於半導體工件上之自對準多重圖案化方法,其利用於共同製造站台上執行之整合型處理步驟序列,該共同製造站台設有複數處理模組,其包含一或更多成膜模組、一或更多蝕刻模組及一或更多轉移模組。於一具體實施例中,該整合型處理步驟序列包含:接收一工件進入該共同製造站台,該工件具有一心軸圖案形成其上,該心軸圖案包括以一第一間距相隔之複數第一特徵,以及使用該一或更多成膜模組及該一或更多蝕刻模組,至少部分地基於該心軸圖案,以形成一側壁間隔物圖案,該側壁間隔物圖案包括以一第二間距相隔之複數第二特徵,該第一間距係大於該第二間距。該整合型處理步驟序列係於該共同製造站台內之受控環境中執行,且不離開該受控環境,該一或更多轉移模組係用以於該複數處理模組之間轉移該工件,同時保持該工件於該受控環境內。
於工件(其上形成有包含若干心軸線之心軸圖案)接收至共同製造站台中之另一具體實施例中,該整合型處理步驟序列更包含:使用設於該共同製造站台上之一第一成膜模組,共形塗佈一第一薄膜於該心軸圖案上方,於未破壞真空下,使用設於該共同製造站台上之一第一蝕刻模組,將該第一薄膜自該心軸圖案之上表面及鄰接該心軸圖案之下表面移除,以於該心軸圖案之側壁上留下該第一薄膜,因而形成第一側壁間隔物。接著,於未破壞真空下,使用設於該共同製造站台上之一第二蝕刻模組,將該心軸圖案自該工件移除,以留下該些第一側壁間隔物,因而形成包括有若干特徵之一新特徵圖案,該些特徵為該些心軸線數量的兩倍。該一或更多轉移模組係用以於該第一成膜模組、該第一蝕刻模組與該第二蝕刻模組之間轉移該工件,且未破壞真空。
於一相關具體實施例中,該方法係使用該新特徵圖案作為另一心軸圖案來繼續進行。於該繼續的方法中,於未破壞真空下,使用設於該共同製造站台上之一第二成膜模組,共形塗佈一第二薄膜於該新特徵圖案上方。該繼續的方法更包含:於未破壞真空下,使用設於該共同製造站台上之一第三蝕刻模組,將該第二薄膜自該新特徵圖案之上表面及鄰接該新特徵圖案之下表面移除,以於該新特徵圖案之側壁上留下該第二薄膜,從而形成第二側壁間隔物,以及於未破壞真空下,使用設於該共同製造站台上之一第四蝕刻模組,將該新特徵圖案自該工件移除,以留下該些第二側壁間隔物,該些第二側壁間隔物之數量為該些心軸線之數量的四倍。
於工件(其上形成有包含若干心軸線之心軸圖案)接收至共同製造站台中之另一具體實施例中,該整合型處理步驟序列更包含:使用設於該共同製造站台上之一第一成膜模組,共形塗佈一第一薄膜於該心軸圖案上方,以及於未破壞真空下,使用設於該共同製造站台上之一第一蝕刻模組,將該第一薄膜自該心軸圖案之上表面及鄰接該心軸圖案之下表面移除,以於該心軸圖案之側壁上留下該第一薄膜,因而形成第一側壁間隔物。接著,於未破壞真空下,於設於該共同製造站台上之一第二成膜模組中,共形塗佈一第二薄膜於該些第一側壁間隔物及該心軸圖案上方,並且再次於不破壞真空下,在設於該共同製造站台上之一第二蝕刻模組中,將該第二薄膜自該些第一側壁間隔物及心軸圖案之上表面及鄰接該些第一側壁間隔物之下表面移除,以於該些第一側壁間隔物之側壁上留下該第二薄膜,因而形成第二側壁間隔物。隨後,於未破壞真空下,使用設於該共同製造站台上之一第三蝕刻模組,將該些第一側壁間隔物自該工件移除,以留下該些第二側壁間隔物及心軸圖案,因而形成包括有若干特徵之一新特徵圖案,該些特徵為該些心軸線數量的三倍。該一或更多轉移模組係用以於該第一成膜模組、該第一蝕刻模組、該第二成膜模組、該第二蝕刻模與該第三蝕刻模組之間轉移該工件,且未破壞真空。
於一具體實施例中,該整合型處理步驟序列包含:接收一工件進入該共同製造站台,該工件具有一心軸圖案形成其上,該心軸圖案包括以一第一間距相隔之若干第一特徵,以及使用該一或更多成膜模組及該一或更多蝕刻模組,至少部分地基於該心軸圖案,以形成一側壁間隔物圖案,該側壁間隔物圖案包括以一第二間距相隔之複數第二特徵,該第一間距係大於該第二間距。該整合型處理步驟序列更包含:獲得與該側壁間隔物圖案之形成相關的測量數據,該測量數據係用以確定該側壁間隔物圖案之厚度、寬度或輪廓,當側壁間隔物圖案之厚度、寬度或輪廓未符合側壁間隔物圖案之目標厚度、寬度或輪廓時,透過以下來修復側壁間隔物圖案: (i)選擇性沉積額外材料至結構上,(ii)共形沉積額外材料至結構上,(iii)對結構進行形狀修整,(iv)蝕刻結構,(v)將摻雜物注入結構中,(vi)移除並重新塗佈結構之材料層,或其兩者或更多之組合。該整合型處理步驟序列係於共同製造站台內之受控環境中執行,且未離開該受控環境,該一或更多轉移模組係用以使工件於該複數處理模組之間轉移,同時保持工件於受控環境內。
於一相關具體實施例中,形成側壁間隔物圖案之步驟包含:於一或更多成膜模組中共形塗佈一薄膜於心軸圖案上方、於一或更多蝕刻模組中將該薄膜自心軸圖案之上表面及鄰接心軸圖案之下表面移除,以於心軸圖案之側壁上留下該薄膜,因而形成側壁間隔物、以及於一或更多蝕刻模組中將心軸圖案自工件移除,以留下側壁間隔物,其中該些側壁間隔物形成側壁間隔物圖案,其具有倍數於已移除心軸圖案特徵之數量。
於另一相關具體實施例中,形成側壁間隔物圖案之步驟包含:於一或更多成膜模組中共形塗佈第一薄膜於心軸圖案上方、於一或更多蝕刻模組中將該第一薄膜自心軸圖案之上表面及鄰接心軸圖案之下表面移除,以於心軸圖案之側壁上留下該第一薄膜,因而形成第一側壁間隔物、於一或更多成膜模組中共形塗佈第二薄膜於第一側壁間隔物及心軸圖案上方、於一或更多蝕刻模組中將該第二薄膜自第一側壁間隔物及心軸圖案之上表面及鄰接第一側壁間隔物及之下表面移除,以於第一側壁間隔物之側壁上留下該第二薄膜,因而形成第二側壁間隔物、以及於一或更多蝕刻模組中將第一側壁間隔物自工件移除,以留下第二側壁間隔物及心軸圖案,俾以形成一特徵圖案,其具有倍數於已移除心軸圖案特徵之數量。
本說明書提出了使用整合型站台進行自對準多重圖案化(SAMP)之方法。 然而,相關技術領域中熟悉技藝者當明白,可在沒有一或更多具體細節的情況下,或是利用其他取代及/或額外的方法、材料或構件,來實施該些各種具體實施例。在其他情況中,未詳細示出或描述習知的結構、材料或操作,以避免模糊本發明各種具體實施例之態樣。
類似地,為達解釋目的,此處列舉具體的數量、材料及構造,以對本發明提供全面瞭解。然而,本發明可於無具體細節下實施。此外,應理解,附圖中所示的各種具體實施例是說明性呈現,不一定按比例繪製。在參考圖式中,整份內容中的相同標號表示相同部件。
整篇說明書中所指之「一具體實施例」或其變化表示與該具體實施例相關的一特定特徵、結構、材料、或特性係包含於本發明之至少一具體實施例中,但不代表其在每一具體實施例中皆存在。因此,可能於整篇說明書中各種地方出現的「於一具體實施例中」用詞未必指本發明之相同具體實施例。再者,在一或更多具體實施例中,可以任何適合的方式結合特定的特徵、結構、材料、或特性。於其他具體實施例中,可包含各種額外的層及/或結構,及/或可省略所述的特徵。
此外,應當理解,除非另外明確說明,否則「一」可指「一或更多」。
將以最有助於理解本發明之方式,將各種操作依序描述為多個分開操作。然而,不應將描述的順序解釋為意指該些操作必須依此順序。尤其,該等操作無需按照所呈現的順序來進行。所述的操作可依不同於所述具體實施例的順序來進行。於額外具體實施例中,可進行各種額外操作及/或可省略所述操作。
在此所用之用語「基板」意指並包含材料形成於上之基底材料或結構。可理解的是,基板可包含單一材料、複數層不同材料、其中具有不同材料或不同結構區域之一層或複數層等。此等材料可包含半導體、絕緣體、導體、或其組合。舉例來說,基板可為半導體基板、支撐結構上之基底半導體層、金屬電極、或其上形成有一或更多層、結構或區域之半導體基板。基板可為習知矽基板或包括半導電性材料層之其它塊材基板(bulk substrate)。在此所用之用語「塊材基板」不僅意指且包含矽晶圓,還有矽覆絕緣體(silicon-on-insulator,SOI)基板(例如,矽覆藍寶石(silicon-on-sapphire,SOS)基板及矽覆玻璃(silicon-on-glass,SOG)基板)、基底半導體基部上之矽磊晶層、及其它半導體或光電材料(例如,矽-鍺、鍺、砷化鎵、氮化鎵、及磷化銦)。基板可經摻雜或未摻雜。
在此所用之用語「工件」意指半導體裝置製程之一或更多階段期間形成於基板上之材料或層組成,於最後處理階段,該工件最終包括半導體裝置。
此等具體實施例包含用於SAMP之方法,其利用共同製造站台,其中多個處理步驟係在受控環境內(例如,在不破壞操作之間的真空下)於共同站台上進行。該整合型頭尾相接式站台(end-to-end platform)包含蝕刻模組及成膜模組兩者,並配置成用以將工件從一模組轉移至另一模組,同時使工件保持於受控環境中,例如,不破壞真空或在共同製造站台外部留有惰性氣體保護環境,因而避免暴露於周圍環境。任何SAMP製程皆可於共同製造站台上執行,且該整合型頭尾相接式站台將於降低成本下實現大批量製造,同時改善良率(yield)、缺陷水平及EPE。如本文所述,SAMP製程包含任何間隔物圖案化技術或側壁圖像轉移技術,其用於減小工件上特徵間距,亦可稱為增加間距密度。SAMP製程包含(作為舉例而非限制)自對準雙重、三重、四重、八重等圖案化、多色交替材料(multicolor alternating materials)、自阻隔及切割(self-blocking and cutting)、多色圖案化遮罩層(multicolor patterned mask layers)等。在此所述之「間距」(pitch或pitch distance)為圖案之兩相鄰特徵中兩個相同點之間的距離。具有初始數量之特徵(以第一間距相隔)的圖案可成倍增加特徵數量,並因此減小間距。例如,於四重圖案化製程中,特徵數量(即間距密度)可四倍化,而間距則減少了4倍,即初始間距的1/4。雖然此可能被誤稱為間距放大,但更準確地應稱為間距減小或間距密度倍增。
在此所用之「成膜模組」意指用來在處理室中之工件上沉積或成長膜或層之任何類型的處理工具。該成膜模組可以是單晶圓工具、批次處理工具或半批次處理工具。可於成膜模組中進行之膜沉積或成長類型包含(作為舉例而非限制)化學氣相沉積、電漿增強或電漿輔助化學氣相沉積、原子層沉積、物理氣相沉積、 熱氧化或氮化等,該製程可為等向性(isotropic)、異向性(anisotropic)、共形性(conformal)、選擇性、覆蓋等。
在此所用之「蝕刻模組」意指用來移除處理室中工件上所有或部份的膜、層、殘留物或污染物之任何類型的處理工具。該蝕刻模組可為單晶圓工具、批次處理工具或半批次處理工具。可於蝕刻模組中進行之蝕刻類型包含(作為舉例而非限制)化學氧化物去除(COR)、乾式(電漿)蝕刻、反應性離子蝕刻、使用浸潤或非浸潤技術之濕式蝕刻、原子層蝕刻、化學機械研磨、清除、灰化、微影等,該製程可為等向性、異向性、選擇性等。
在此所用之「模組」通常意指具有其所有硬體及軟體於一體之處理工具,包含處理室、基板載台及移動機構、氣體供應及分佈系統、泵浦系統、電性系統及控制器等。此等模組之細節於本領域中是已知的,故不在此討論。
從最廣泛的角度來看,本發明具體實施例係關於在工件上進行並於承載複數處理模組之共同製造站台上執行之整合型處理步驟序列,處理模組包含一或更多成膜模組、一或更多蝕刻模組、以及 一或更多轉移模組。整合型處理步驟序列包含,接收工件至共同製造站台中,該工件上形成有心軸圖案,該心軸圖案包括若干特徵,該些特徵可指心軸或心軸線。該些特徵由初始間距相隔。 使用一或更多成膜模組及一或更多蝕刻模組,至少部分地基於心軸圖案而形成側壁間隔物圖案。該側壁間隔物圖案具有倍數於心軸圖案特徵之數量,亦即,間距密度倍增。例如,側壁間隔物圖案可具有2倍、3倍、4倍、6倍、8倍等特徵數量或間距密度。側壁間隔物圖案之特徵可以第二間距相隔,且第一間距大於第二間距,即減小間距。例如,第二間距可為第一間距之一半、三分之一、四分之一、六分之一、八分之一等。整合型處理步驟序列係於共同製造站台內之受控環境中執行,其未離開該受控環境,而一或更多轉移模組係用以於複數處理模組間轉移工件,並同時使工件保持於受控環境內。於最廣義實施方式中,受控環境包含基板104所暴露的任何條件,但不暴露於環境空氣或不受共同製造站台控制或監控的條件(例如,溫度、濕度)。將基板104暴露於環境空氣或其他未受控條件下之情形可稱為破壞真空。於較狹義實施方式中,該受控環境可限於將基板104暴露於惰性氣體(如氮氣、氬氣),或是在低於大氣壓(sub-atmospheric)條件下使暴露基板104表面變化降至最小之任何氣體。例如,於一些情況下,在共同製造站台上進行之整合型製程序列可完全於低於大氣壓之壓力下執行,其包含處理步驟、度量步驟及轉移步驟。然而,於其他具體實施例中,該整合型製程序列可包含大氣壓製程壓力或更大壓力,以於共同製造站台內完成整個整合型製程。於此實施方式中,該受控環境可包含惰性氣體環境內較大範圍之壓力(例如,低於大氣壓、大氣壓或更高壓),以限制或控制對基板104的變化。藉此,若整合型序列包含大氣壓或更高壓以及低於大氣壓之製程條件,則受控環境內會出現不同壓力間之過渡狀態。
現參考圖式,其中相同參考標號於整份若干視圖中係指相同或對應部分。
圖1A-1D顯示工件之自對準雙重圖案化(SADP)方法的具體實施例,而圖2A-2D是從圖1A-1D方法繼續進行,其顯示自對準四重圖案化(SAQP)方法的具體實施例。圖3為對應於圖1A-1D及圖2A-2D方法之製程流程300的流程圖。圖4顯示本發明之共同製造站台的具體實施例,其可用於進行製程流程300。下面圖1A-1E及圖2A-2D之依序討論中將參考圖3之製程流程300及圖4之共同製造站台400,其中工件100是在依整合型處理步驟序列進行時作描述。
於製程流程300之操作302中,如圖1A所示,將其上形成有第一心軸圖案110之工件100提供至共同製造站台400中。該工件100可包含經過微影製程之各種材料堆疊,其中阻層塗佈於基板上並進行曝光,以形成第一心軸圖案110。該阻層圖案接著透過一系列電漿步驟而轉到下伏層。對熟悉現有技術者而言,已知有不同方案用以在基板上形成心軸圖案,該些心軸可為有機心軸或硬質心軸,其包含如矽、非晶碳、光阻聚合物、氧化物、氮化物或其類似者之此等材料。此方案包含光學或有機平坦化層(OPL)(通常為旋塗材料)之沉積,接著是矽抗反射塗層(SiARC)之沉積(也是旋塗),後續為阻層塗佈及微影製程。另一方案包含使用CVD沉積之非晶碳層沉積,接著是使用CVD製程之SiON膜沉積,隨後為使用旋塗製程之底部抗反射塗層(BARC)沉積,接著是阻層塗佈及微影製程。簡言之,工件100係繪製為其上具有下伏層106之基板104,而最終圖案將轉到下伏層106中,且第一心軸圖案110形成於下伏層106上,儘管可理解為其上形成有第一心軸圖案110之結構可為多層結構,其下伏層106僅是多個層中之一層。於一具體實施例中,該多層結構可包含一硬質遮罩層(圖未示),其經過圖案化,並且被蝕刻形成下伏層106上方的心軸圖案。該硬質遮罩層係為用於將第一心軸圖案110轉至下伏層106之光阻遮罩層的替代物。於某些情況下,該多層結構(下伏層106)可能需要光阻層可能無法承受之更激進蝕刻製程或是多步驟蝕刻製程,以實現第一心軸圖案110之所需輪廓或尺寸。於另一具體實施例中(圖未示),第一心軸圖案110可利用本文所揭露之任一圖案化技術,於操作302後透過在共同製造站台400上進行之蝕刻製程來形成。
如圖4所示,轉移模組410a可用以將工件帶進共同製造站台400之受控環境中,其中整個製程流程300皆保持於此受控環境中。該受控環境可包含真空環境,其中製程流程300中之每一操作皆在未破壞真空或惰性氣體大氣低於大氣壓或其組合下執行。單個轉移模組可耦接於每個處理模組或工具之間,或是獨立轉移模組410a-h可用於每個工具轉移,如圖4所繪示。在適當情況下,轉移模組410a-h在本文中可統稱為轉移模組410。共同製造站台400上之不同處理模組需要不同受控環境,如不同真空壓力,或是一模組中為真空,接著是具惰性氣體大氣之模組,可使用多個轉移模組410,其中轉移模組410有助於實現不同受控環境間之轉換。雖然單個轉移模組於叢集工具(其中相同類型的處理模組位於環繞轉移模組之圓圈中)中可能是有用的,但在具有不同處理模組類型之頭尾相接式站台配置中(如圖4所繪示),多個轉移模組410可能較為適合。然而,本文具體實施例並未排除利用單個轉移模組(耦接至每個處理模組)之頭尾相接式站台配置,或是其間例如有共同轉移模組用於相鄰同類型依序使用之處理模組的某些配置。
如大批量製造中眾所周知,前端模組402a可用以裝載工件匣(圖未示),依序排列工件並將其插進負載鎖(load lock),接著進入受控環境中之轉移模組410a,且轉移模組410a依序將工件裝載至處理模組中。於本發明具體實施例之共同製造站台400中,於操作320中,已接收至受控環境中之工件100係透過轉移模組410a裝載至設於共同製造站台400上之成膜模組420中。
參考圖1B及3,於操作304中,在成膜模組420中,將第一薄膜120共形沉積於第一心軸圖案110及下伏層106上方。該第一薄膜120可包括氧化物、氮化物、矽或其任何組合,例如氮化矽、氧化矽或氧氮化矽。如圖所示,共同製造站台400可包含兩個相同成膜模組420,其於轉移模組410a之相對側上。透過使站台400兩側呈鏡像關係,可同時對兩個工件實現頭尾相接式處理,若一個成膜模組420暫時停擺,該站台400可依至少50%的產能(capacity)繼續操作。
接著,在未離開受控環境下,如未破壞真空,使用轉移模組410a及410b,以將工件100轉移至蝕刻模組430(如亦設於共同製造站台400上之第一蝕刻模組430a),例如,轉移模組410a將工件100從成膜模組420移出並轉移到轉移模組410b,該轉移模組410b接著將工件傳送至第一蝕刻模組430a中。若第一蝕刻模組430a以不同於成膜模組420之參數(如不同真空壓力)操作,則可於轉移模組410a及410b中對受控環境做調整。參考圖1C及3,於操作306中,於第一蝕刻模組430a中對第一薄膜120蝕刻,以在第一心軸圖案110之側壁上留下第一薄膜120,此剩餘的薄膜120形成第一側壁間隔物122。舉例說明,操作306可為第一間隔物反應性離子蝕刻(RIE)製程,其從第一心軸圖案110之上表面以及從鄰接第一心軸圖案110之下表面(例如從下伏層106)移除第一薄膜120,以形成第一側壁間隔物122。又,該共同製造站台400可包含兩個相同的第一蝕刻模組430a,其於轉移模組410b之兩相對側上。
此後,再次於不離開受控環境下,如不破壞真空,於操作308中,參考圖1D及3,進行第一心軸拔除製程,該第一心軸拔除製程移除第一心軸圖案110,留下剩餘的薄膜120,其形成第一側壁間隔物122。該第一心軸拔除製程可於操作306中使用之相同蝕刻模組430中進行,或於另一蝕刻模組430中進行,如設於共同製造站台400上之第二蝕刻模組430b。於使用第二蝕刻模組430b之情況下,使用轉移模組410,以將工件從第一蝕刻模組430a轉移至第二蝕刻模組430b,且未離開受控環境。如圖所示,可使用兩個轉移模組410b、410c,以進行轉移,該轉移模組410b將工件移出第一蝕刻模組430a,並將其轉移至轉移模組410c,該轉移模組410c接著將工件傳送至第二蝕刻模組430b中。若第二蝕刻模組430b以不同於第一蝕刻模組430a之參數(如不同真空壓力)操作,則可於轉移模組410b及410c中對受控環境做調整。又,該共同製造站台400可包含兩個相同的第二蝕刻模組430b,其於轉移模組410c之兩相對側上。在移除第一心軸圖案110後,留下的第一側壁間隔物122形成了新特徵圖案,與第一心軸圖案110之特徵或心軸數量相比,其具有兩倍的特徵數量,且具有第一心軸圖案110一半的間距。
可選地,於進一步圖案化操作之前,可對工件進行一或更多清潔製程。例如,可於操作308中使用之相同蝕刻模組430中或另一蝕刻模組430(如設於共同製造站台400上之第三蝕刻模組430c)中進行清潔製程。於使用第三蝕刻模組430c之情況下,使用轉移模組410,以將工件從第二蝕刻模組430b轉移至第三蝕刻模組430c,且未離開受控環境,例如未破壞真空。如圖所示,可使用兩個轉移模組410c、410d,以進行轉移,該轉移模組410c將工件移出第二蝕刻模組430b,並將其轉移至轉移模組410d,該轉移模組410d接著將工件傳送至第三蝕刻模組430c中。若第三蝕刻模組430c以不同於第二蝕刻模組430b之參數(如不同真空壓力)操作,則可於轉移模組410c及410d中對受控環境做調整。又,該共同製造站台400可包含兩個相同的第三蝕刻模組430c,其於轉移模組410d之兩相對側上。於一具體實施例中,如圖4所示,第三蝕刻模組430c為用以進行化學氧化物去除之COR工具。
形成新特徵圖案之第一側壁間隔物122可用於操作318中,如圖3中箭頭310所示,以將新特徵圖案轉移至下伏層106中,形成圖1E中之雙重圖案108。可使用圖1E中之雙重圖案108作為將第一心軸圖案110四倍化之第二心軸圖案222,如以下參考圖2A-2D及3之操作312-318中所述。或者,可使用形成圖1D中新特徵圖案之第一側壁間隔物122作為將第一心軸圖案110四倍化之第二心軸圖案222,如以下參考圖2A-2D及3之操作312-318中所述。
參考圖2A及3,於操作312中,且再次不離開受控環境下,如不破壞真空,將第二薄膜230共形沉積於第二心軸圖案222及下伏層106上方。該第二薄膜230可包括氧化物、氮化物、或矽,例如氧化鈦。該沉積可於操作304中使用之相同成膜模組420中或是設於共同製造站台400上之不同成膜模組422中進行。使用轉移模組410,以於未破壞真空下,將工件100從第三蝕刻模組430c(或者,若無第三蝕刻模組430c的話,則從第二蝕刻模組430b)轉移至成膜模組422。如圖所示,可使用兩個轉移模組410d、410e進行轉移,該轉移模組410d將工件100移出第三蝕刻模組430c,並將其轉移至轉移模組410e,該轉移模組410e接著將工件100傳送至成膜模組422中。此外,如圖所示,在模組待處理之工件100數量發生變化下,可將批次/去批次模組(batch/de-batch module)424及退出/重排模組(eject/realign module)426插入共同製造站台400上之製程流程。於一具體實施例中,成膜模組422為半批次沉積工具,例如6-晶圓工具,而蝕刻模組430a-f為單晶圓工具。轉移模組410接著將工件100依序轉移至批次/去批次模組424中,以進行批次定位,而半批次(如6工件)接著被轉移模組410e轉移至成膜模組422中。於處理半批次後,轉移模組410e將工件100轉移至退出/重排模組426中,以重新排列工件100,並例如藉由轉移模組410f,將其依序轉移至下一個單晶圓工具。若成膜模組422以不同於第三蝕刻模組430c之參數(如不同真空壓力)操作,則可於轉移模組410d及410e和批次/去批次模組424中對受控環境做調整。又,該共同製造站台400可包含兩個相同的成膜模組422,其於轉移模組410e之兩相對側上。
接著,在不離開受控環境下,如不破壞真空,使用轉移模組410f,以將工件100轉移至亦設於共同製造站台400上之蝕刻模組430,其可為操作306中使用之相同蝕刻模組,或是另一蝕刻模組430,如第四蝕刻模組430d。若第四蝕刻模組430d以不同於成膜模組422之參數(如不同真空壓力)操作,則可於轉移模組410e及410f和退出/重排模組426中對受控環境做調整。於操作314中,對第二薄膜230蝕刻,以在第二心軸圖案222之側壁上留下第二薄膜230,此剩餘的第二薄膜230形成第二側壁間隔物232,如圖2B所示。舉例說明,操作314可為第二間隔物反應性離子蝕刻(RIE)製程,其從第二心軸圖案222之上表面以及從鄰接第二心軸圖案222之下表面(例如從下伏層106)移除第二薄膜230,以形成第二側壁間隔物232。
此後,再次在不離開受控環境下,如不破壞真空,於操作316中,進行第二心軸拔除製程,該第二心軸拔除製程移除第二心軸圖案222,留下剩餘的薄膜230,其形成第二側壁間隔物232,如圖2C所示。該第二心軸拔除製程可於操作308中使用之相同蝕刻模組430中進行,或於另一蝕刻模組430中進行,如設於共同製造站台400上之第五蝕刻模組430e。於使用第五蝕刻模組430e之情況下,使用轉移模組410,以將工件從第四蝕刻模組430d轉移至第五蝕刻模組430e,且未離開受控環境。如圖所示,可使用兩個轉移模組410f、410g,以進行轉移,該轉移模組410f將工件移出第四蝕刻模組430d,並將其轉移至轉移模組410g,該轉移模組410g接著將工件傳送至第五蝕刻模組430e中。若第五蝕刻模組430e以不同於第四蝕刻模組430d之參數(如不同真空壓力)操作,則可於轉移模組410f及410g中對受控環境做調整。又,該共同製造站台400可包含兩個相同的第五蝕刻模組430e,其於轉移模組410g之兩相對側上。在移除第二心軸圖案222後,留下的第二側壁間隔物232形成了新特徵圖案,與第一心軸圖案110之特徵或心軸數量相比,其具有四倍的特徵數量,且具有第一心軸圖案110四分之一的間距。
可於操作318中使用第二側壁間隔物232,以將新特徵圖案轉移至下伏層106中,形成四重圖案236,如圖2D所示。可使用圖2C中之四重側壁間隔物232或圖2D中之四重圖案236作為第三心軸圖案,以將第一心軸圖案110八倍化,如以上參考圖2A-2D及3之操作312-318中所述。操作318(無論是在操作308之後或操作316之後進行)可在未離開受控環境下於共同製造站台400上之蝕刻模組430中進行,或者可在離開共同製造站台400後進行。若於共同製造站台400上進行,可使用任何蝕刻模組430,包含蝕刻模組430a-f,或是不同蝕刻模組(圖未示)。一旦完成製程流程300或製程流程300中欲於共同製造站台400上進行的那部份後,工件100經由另一前端模組402b離開共同製造站台400,該前端模組402b可能與前端模組402a相同,雖然其係位於共同製造站台400上模組頭尾相接佈置之後端。在前端模組402a之一般反向製程中,工件100係藉由轉移模組410h依序轉移至移除受控環境之負載鎖室,並接著進入前端模組402b的匣(圖未示)。實質上呈鏡像方式佈置的共同製造站台400具有可在一模組停擺時提供備援的優點,其中該共同製造站台400仍可以較低產量操作。
於一具體實施例中,如下文更詳細討論,該共同製造站台400有利地包含「主動阻絕系統」。該主動阻絕系統包含設在共同製造站台400上之轉移模組410內的一工件測量區,或設在共同製造站台400上之整合型度量模組(圖未示)。該工件測量區可位於轉移模組410之專用區域中,如下更詳細描述。該工件測量區或度量模組可包含用於收集數據之檢驗系統。如下更詳細描述,該檢驗系統可包含至少一光源,其用以引導光束入射至工件之測量表面上,並包含至少一偵測器,其佈設成用以接收自工件之測量表面散射的光信號。該主動阻絕系統更可包含設於共同製造站台400上之智慧系統,其配置成用以收集來自工件測量表面或度量模組之數據,並控制共同製造站台400上執行的整合型處理步驟序列,如製程流程300。
為了本發明具體實施例之主動阻絕,工件測量區或度量模組係於「運作中」收集與半導體工件上特徵或層屬性有關的即時數據(如膜或特徵厚度、特徵深度、表面粗糙度、圖案位移、空洞或其他卻陷、選擇性減損、橫向過度生長、均勻性等),並使用此等即時數據以同時控制設於共同製造站台400上之整合處理模組中的整合操作變數。該數據可依反饋及/或前饋方式來使用,以控制後續模組中對工件進行的操作及/或控制於先前模組中對後續工件進行的操作,例如,將如下參考圖3之操作350-362所述。於一具體實施例中,該共同製造站台400包含一校正模組,其可為成膜模組420或422、蝕刻模組430、或適合用以對工件100施予校正動作或補救處理之其他類型的處理模組。
不同於傳統度量或製程控制,該工件並不會離開受控環境而進入獨立度量工具,因而將氧化及缺陷的產生降至最低,該些測量是非破壞性的,使得工件不會被犧牲以獲得數據,因而將產出量最大化,且可即時收集數據做為製程流程的一部份,以避免對生產時間造成負面影響,並且能夠對依序於共同製造站台400上處理之工件或對後續工件進行製程過程中的調整。此外,不在成膜或蝕刻模組中進行測量,因此避免當測量裝置暴露於處理流體時的問題。例如,透過將工件測量區併入轉移模組中,即可在工件於處理工具之間運送時獲得數據,而製程流程幾乎沒有延遲,且不暴露於處理流體,並且不離開受控環境,例如不破壞真空。雖然「運作中」數據可能不如傳統於獨立度量工具中進行之破壞性方法一般準確,但其對製程流程之幾乎立即反饋以及在不中斷製程流程或犧牲產量下進行即時調整的能力對於大批量製造是極為有利的。
進一步參考圖3之製程流程300,該方法可包含於整個整合方法中之任何不同時間下,使用主動阻絕系統來檢驗工件,例如進行度量,亦即獲得測量數據,且不離開受控環境,例如不破壞真空。工件的檢驗可包含表徵工件之一或更多屬性,並確定其屬性是否符合目標條件。例如,該檢驗可包含獲得與屬性相關之測量數據,並確定缺陷率、膜共形性(conformality)、厚度、均勻性及/或選擇性條件是否滿足該條件的目標。雖然以下討論將集中於獲得測量數據,但是可以理解,在共同製造站台之受控環境內執行的其他檢驗技術也在本發明之範疇內。
該主動阻絕系統可包含共同製造站台400上之單個度量模組或工件測量區,或是可包含共同製造站台400上之多個度量模組或工件測量區,如下更詳細討論。每一度量操作是可選的,如圖3中虛線所示,但可有利地於製程流程中一或更多點處進行,以確保工件100於規格內,降低缺陷率及EPE。於一具體實施例中,測量數據係於共同製造站台上執行之整合型處理步驟序列的每個步驟之後獲得。該測量數據可用以在離開共同製造站台前於校正模組中修復工件,及/或可用以改變後續工件之整合型處理步驟序列的參數。
廣泛地說,在受控環境內,可於與側壁間隔物圖案形成有關之整合型處理步驟序列期間獲得測量數據,並且基於測量數據,可確定側壁間隔物圖案之厚度、寬度或輪廓是否符合目標條件。當確定側壁間隔物圖案之厚度、寬度或輪廓不符合目標條件時,可於共同製造站台上之校正模組中處理工件,以改變側壁間隔物圖案。於一具體實施例中,當未符合側壁間隔物圖案之目標厚度、寬度或輪廓時,可透過(i)選擇性地將額外材料沉積至結構上,(ii)將額外材料共形沉積至結構上,(iii)對結構進行形狀修整,(iv)蝕刻結構,(v)將摻雜物注入結構中,(vi)移除並重新塗佈結構之材料層,或其中兩者或更多者之任意組合,以修復側壁間隔物圖案。
於一具體實施例中,當於共同製造站台上之成膜模組中塗佈的薄膜共形性或均勻性不符合薄膜之目標共形性或目標均勻性時,可採取校正動作,以修復薄膜。可透過移除薄膜再重新塗佈薄膜、共形塗佈額外薄膜、蝕刻薄膜、或其兩者或更多者組合,以完成修復共形塗佈薄膜。例如,可將工件轉移至校正蝕刻模組,以移除薄膜或部分蝕刻薄膜,及/或可將工件轉移至校正成膜模組,以於移除後重新塗佈薄膜,或於現有薄膜或部分蝕刻之薄膜上方塗佈額外薄膜。
於一具體實施例中,當於共同製造站台上之蝕刻模組中形成的側壁間隔物厚度、寬度或輪廓不符合側壁間隔物之目標厚度、寬度或輪廓時,可採取校正動作,以修復側壁間隔物。可透過選擇性沉積額外材料於側壁間隔物上、對側壁間隔物進行形狀修整、將摻雜物注入側壁間隔物中、或其兩者或更多者組合,以完成修復側壁間隔物。例如,可將工件轉移至校正成膜模組,以選擇性沉積間隔物材料,或轉移至一或更多校正成膜及/或蝕刻模組,以進行側壁間隔物之形狀修整製程。
校正模組可為不同的成膜及蝕刻模組,而被指定作為共同製造站台上之校正模組,或是整合於共同製造站台上之另一類型處理模組,如熱退火模組,或是可為用以共形塗佈薄膜、蝕刻薄膜及移除心軸圖案之相同成膜及蝕刻模組。
現將詳細敘述圖3之製程流程300,其具可選的度量操作。操作302包含接收具有第一心軸圖案之工件至共同製造站台中。操作350包含可選地進行度量,以獲得與輸入工件之屬性相關的測量數據,如第一心軸圖案及/或下伏層(下伏層上方形成有該心軸圖案,且最終圖案將轉移至下伏層中)之屬性,其測量數據可用以調整及/或控制操作304-318中任一者的製程參數。
操作304包含使用設於共同製造站台上之成膜模組,共形塗佈第一薄膜於第一心軸圖案上方。操作352包含可選地進行度量,以獲得與工件(塗佈有共形的第一薄膜)屬性相關的測量數據,如第一薄膜、第一心軸圖案(受薄膜沉積影響)及/或下伏層(最終圖案將轉移至下伏層,且受薄膜沉積影響)之屬性,該測量數據可用以調整及/或控制操作306-318中任一者的製程參數,可用以對操作302或操作304中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復共形塗佈的第一薄膜。例如,當第一薄膜之共形性或均勻性不符合第一薄膜之目標共形性或目標均勻性時,可於一或更多校正模組中採取校正動作,如移除薄膜再重新塗佈薄膜、共形塗佈額外薄膜、蝕刻薄膜、或其兩者或更多者之組合。
操作306包含使用設於共同製造站台上之蝕刻模組,從第一心軸圖案之上表面及鄰接第一心軸圖案之下表面(例如,從下伏層)移除第一薄膜,以形成第一側壁間隔物(稱為間隔物蝕刻)。操作354包含可選地進行度量,以獲得與工件(具有經蝕刻之第一薄膜,其於第一心軸圖案側壁上形成第一側壁間隔物)屬性相關的測量數據,如第一側壁間隔物、第一心軸圖案(受間隔物蝕刻影響)及/或下伏層(受間隔物蝕刻影響)之屬性,該測量數據可用以調整及/或控制操作308-318中任一者的製程參數,可用以對操作302或操作304-306中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復心軸圖案側壁上之第一側壁間隔物。例如,當側壁間隔物之厚度、寬度或輪廓不符合側壁間隔物之目標厚度、寬度或輪廓時,可於一或更多校正模組中採取校正動作,例如透過選擇性沉積額外材料至側壁間隔物上、對側壁間隔物進行形狀修整、將摻雜物注入側壁間隔物中、或其兩者或更多者之組合。
操作308包含使用設於共同製造站台上之蝕刻模組,移除第一心軸圖案(稱為心軸拔除),以留下第一側壁間隔物。操作356包含可選地進行度量,以獲得與工件(具有第一側壁間隔物)屬性相關的測量數據,如第一側壁間隔物(受心軸拔除影響)及/或下伏層(受心軸拔除影響)之屬性,該測量數據可用以調整及/或控制操作310-318中任一者的製程參數,可用以對操作302或操作304-308中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復第一側壁間隔物。例如,當側壁間隔物之厚度、寬度或輪廓不符合側壁間隔物之目標厚度、寬度或輪廓時,可於一或更多校正模組中採取校正動作,如藉由選擇性沉積額外材料至側壁間隔物上、對側壁間隔物進行形狀修整、將摻雜物注入側壁間隔物中、或其兩者或更多者之組合。
於SADP具體實施例中,製程流程300可在無操作356下或在操作356之後經過SADP流程310繼續進行到操作318,於下討論。
操作312包含使用設於共同製造站台上之成膜模組,共形塗佈第二薄膜於作為第二心軸圖案之第一側壁間隔物上方。操作358包含可選地進行度量,以獲得與工件(塗佈有共形的第二薄膜)屬性相關的測量數據,如第二薄膜、第二心軸圖案(受薄膜沉積影響)及/或下伏層(受薄膜沉積影響)之屬性,該測量數據可用以調整及/或控制操作314-318中任一者的製程參數,可用以對操作302或操作304-308中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復共形塗佈的第二薄膜。例如,當第二薄膜之共形性或均勻性不符合第二薄膜之目標共形性或目標均勻性時,可於一或更多校正模組中採取校正動作,如移除薄膜再重新塗佈薄膜、共形塗佈額外薄膜、蝕刻薄膜、或其兩者或更多者之組合。
操作314包含使用設於共同製造站台上之蝕刻模組,從第二心軸圖案之上表面及鄰接第二心軸圖案之下表面(例如,從下伏層)移除第二薄膜,以形成第二側壁間隔物(稱為間隔物蝕刻)。操作360包含可選地進行度量,以獲得與工件(具有經蝕刻之第二薄膜,其於第二心軸圖案側壁上形成第二側壁間隔物)之屬性相關的測量數據,如第二側壁間隔物、第二心軸圖案(受間隔物蝕刻影響)及/或下伏層(受間隔物蝕刻影響)之屬性,該測量數據可用以調整及/或控制操作316-318中任一者的製程參數,可用以對操作302或操作304-314中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復第二心軸圖案側壁上之第二側壁間隔物。例如,當側壁間隔物之厚度、寬度或輪廓不符合側壁間隔物之目標厚度、寬度或輪廓時,可於一或更多校正模組中採取校正動作,如藉由選擇性沉積額外材料至側壁間隔物上、對側壁間隔物進行形狀修整、將摻雜物注入側壁間隔物中、或其兩者或更多者之組合。
操作316包含使用設於共同製造站台上之蝕刻模組,移除第二心軸圖案(稱為心軸拔除),以留下第二側壁間隔物。操作362包含可選地進行度量,以獲得與工件(具有第二側壁間隔物)屬性相關的測量數據,如第二側壁間隔物(受心軸拔除影響)及/或下伏層(受心軸拔除影響)之屬性,該測量數據可用以調整及/或控制操作318之製程參數,可用以對操作302或操作304-316中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復第二側壁間隔物。例如,當側壁間隔物之厚度、寬度或輪廓不符合側壁間隔物之目標厚度、寬度或輪廓時,可於一或更多校正模組中採取校正動作,如藉由選擇性沉積額外材料至側壁間隔物上、對側壁間隔物進行形狀修整、將摻雜物注入側壁間隔物中、或其兩者或更多者之組合。
如上所述,製程參數可包含處理模組內之任一操作變數,例如但不限於:氣體流速;蝕刻劑、沉積反應物、沖洗氣體等之組成;腔室壓力;溫度;電極間距;功率等。主動阻絕系統的智慧系統係配置成用以收集來自檢驗系統之測量數據,並控制共同製造站台上執行之整合型處理步驟序列,例如其可藉由對後續處理模組中的處理參數作原位(in situ )調整,以用於處理中的工件,或是藉由改變一或更多處理模組中的製程參數,以用於後續工件。因此,可於整合型處理步驟序列期間,利用獲得之測量數據,來辨識工件所需的修復,以避免必須丟棄工件,及/或於獲得測量數據後對整合型處理步驟序列之處理參數進行調整,以用於在相同工件上執行之步驟或用於處理後續工件,以降低後續工件未符合目標條件之情況發生。
現參考圖5,提供另一具體實施例之共同製造站台500,其用以執行SAMP製程,如上圖1A-1E、2A-2D及3所述,其中相同參考標號係用來指相同部件。類似於上述圖4,共同製造站台500包含前端模組402a及402b,其於共同製造站台500之每一端處,用以將工件100移進並移出共同製造站台500。共同製造站台500包含複數轉移模組410,其用以將工件移進並移出設於共同製造站台500上之複數處理模組。該複數處理模組包含一或更多成膜模組420(如一或更多沉積工具)及一或更多蝕刻模組430(如一或更多乾式蝕刻工具、濕式蝕刻工具及/或COR工具)。如圖所示,可包含兩個成膜模組420,其各自耦接至轉移模組410之一者,且其可為相同或不同類型工具。如進一步所示,可包含多個蝕刻模組430,其中兩個蝕刻模組430耦接至若干轉移模組410之每一者。圖中示出了六個蝕刻模組430,但可包括較少或較多數量的蝕刻模組430。可使用成膜模組420來進行操作304及312。可使用蝕刻模組430來進行操作306、308、314及316,並可視情況進行操作318及其他清潔或蝕刻操作。任一處理模組可作為用以修復工件之校正模組,或是可新增額外處理模組以進行校正動作。該複數處理模組通常從前端到後端形成兩條線440、450,其中一條線440係沿著一排轉移模組410之一側,而另一條線450則沿著一排轉移模組410之另一側。
於一實例中,單個工件100可沿線440從前端至後端進行處理,接著再傳回前端並沿著線450再一次進行處理。因此,可沿著線440進行共形沉積操作304、間隔物蝕刻操作306、及心軸拔除操作308,以將圖案雙倍化,接著沿著線450進行共形沉積操作312、間隔物蝕刻操作314、及心軸拔除操作316,以將圖案四倍化,進而沿頭尾相接式共同製造站台500重複兩輪操作。將工件100傳回前端之前,可於線440之末端處進行蝕刻或修復製程(於依序示出的第三蝕刻模組430中、或成膜模組中、或其他處理模組,圖未示),以於重覆線450操作之前清潔或修復第二心軸圖案。可於線450之末端處進行COR製程或修復製程(於依序示出的第三蝕刻模組430中、或成膜模組中、或其他處理模組,圖未示),以於離開共同製造站台500前將氧化物從四倍化圖案移除或修復圖案。或者,於示於線450之第三蝕刻模組430中,可於適合類型的蝕刻模組中進行操作318。於此實例中,線440、450後端處的額外蝕刻模組可互不相同,因為每一該些蝕刻模組430僅在整合製程流程300之一個階段中依序處理工件。
於另一實例中,兩條線440、450係獨立操作以同時(為時間同相或時間偏差)處理兩個工件100,每一工件沿線440或450之一者從前端到後端行進,接著再傳回前端,且每一工件沿相同的線440或450再次進行處理。因此,可沿每一線440及450進行共形沉積操作304、間隔物蝕刻操作306、及心軸拔除操作308,以將兩個工件100上的圖案雙倍化,接著再沿線440及450進行共形沉積操作312、間隔物蝕刻操作314、及心軸拔除操作316,以將兩個工件100上的圖案四倍化,進而沿頭尾相接式共同製造站台500重複兩輪操作。將工件100傳回前端之前,可於第一輪之末端處進行清潔蝕刻或修復製程(於依序示出的第三蝕刻模組430中、或成膜模組中、或其他處理模組,圖未示),以於重覆操作之前清潔或修復第二心軸圖案。可於離開共同製造站台500前,在第二輪之末端處(於依序示出的第三蝕刻模組430中、或成膜模組中、或其他處理模組,圖未示),進行清潔蝕刻、修復製程或圖案轉移蝕刻(操作318)。於此實例中,該些第三蝕刻模組430(或其他類型的校正模組)可為相同類型的模組,因為其各自在整合製程流程300之多於一個階段中處理工件。此實例具有可在一模組必須停擺時提供備援的優點,其中該共同製造站台500仍可以50%產量操作。
於一具體實施例中,該共同製造站台包含用以共形沉積一薄膜於心軸圖案上方之至少一沉積模組、用以進行間隔物蝕刻及心軸拔除之至少一蝕刻模組、以及用以於模組間轉移工件並於整個整合製程流程中保持受控環境之至少一轉移模組。有利地,該至少一蝕刻模組包含至少兩個蝕刻模組,其中一者用以間隔物蝕刻,另一者用以心軸拔除。於另一具體實施例中,該共同製造站台包含至少一工件測量區,其位於受控環境內之該至少一轉移模組之專用區域內或設於共用製造站台上之度量模組內,用以獲得與工件之一或更多屬性相關之測量數據。於一具體實施例中,該共同製造站台包含至少一校正模組,用以進行工件之修復,例如修復共形沉積的薄膜或側壁間隔物。
如本領域具有通常技藝者所知,可基於在不同模組中執行操作之不同模組所需之處理時間,選擇共同製造站台上處理模組之數量及定位和度量操作,以透過共同製造站台提供基本上連續的製程流程,因而提供良好的產出匹配。
於一具體實施例中,共同製造站台上之複數處理模組及整合製程流程適用於多色SAMP製程,其中不同顏色之自對準阻擋層(SAB)(亦即,各自具有不同蝕刻選擇性之不同材料,每一顏色代表不同蝕刻速率)係用以達成精確的線切割。於某些具體實施例中,用於形成側壁間隔物圖案之整合製程流程可包含美國專利案第9,818,611號或第10,020,196號(發明名稱為Methods of Forming Etch Masks for Sub-Resolution Substrate Patterning)之具體實施例中所述的任何製程步驟序列,其係於不離開受控環境下,在共同製造站台中執行製程步驟序列。此外,雖然上文詳細敘述雙重及四重圖案化,但共同製造站台上之複數處理模組及整合製程流程可適用於任何多重圖案化製程中。
圖6A-6G顯示用於工件之自對準三重圖案化(SATP)方法的具體實施例,圖7為對應於圖6A-6G方法之製程流程700的流程圖。如上所討論的圖4及5顯示可用於進行製程流程700之本發明共同製造站台之具體實施例。
於製程流程700之操作702中,如圖6A所示,提供其上形成有第一心軸圖案610之工件600至共同製造站台400或500中。該工件600可為如上所述之工件100。類似地,簡言之,該工件600繪示為其上具有下伏層606(最終圖案將轉至下伏層606)之基板604,且該心軸圖案610形成於下伏層606上,雖然其可理解為其上形成有心軸圖案610之結構可為多層結構,而下伏層606僅是多個層中之一層。
如圖4及5所示,轉移模組410或410a可用以將工件600帶進共同製造站台400或500之受控環境中,其中整個製程流程700皆保持於此受控環境中。於本發明具體實施例之共同製造站台400或500中,於操作702中,已接收進入受控環境中之工件600可藉由轉移模組410或410a載入設於共同製造站台400上之成膜模組420中。
參考圖6B及7,於操作704中,在該成膜模組420中,第一薄膜620共形沉積於心軸圖案610及下伏層606上方。。該第一薄膜620可包括氧化物、氮化物、矽或其任何組合,例如氮化矽、氧化矽或氧氮化矽。
接著,在未離開受控環境下,如未破壞真空,使用轉移模組410或410a及410b,以將工件600轉移至設於共同製造站台400或500上之蝕刻模組430,例如,於站台400中,轉移模組410a將工件600從成膜模組420移出並轉移到轉移模組410b,該轉移模組410b接著將工件運至第一蝕刻模組430a中。於操作706中,於第一蝕刻模組430或430a中對第一薄膜620蝕刻,以在心軸圖案110之側壁上留下第一薄膜620,此剩餘的薄膜620形成第一側壁間隔物622,如圖6C所示。舉例說明,操作706可為第一間隔物反應性離子蝕刻(RIE)製程,其形成第一側壁間隔物622。
參考圖6D及7,於操作708中,再次於不離開受控環境下,如不破壞真空,將第二薄膜630共形沉積於第一側壁間隔物622、心軸圖案610及下伏層606上方。該第二薄膜630可包括氧化物、氮化物、矽或其任何組合,例如氧化鈦。該沉積可於操作704中使用之相同成膜模組420中或是設於共同製造站台400或500上之第二成膜模組420或422中進行。使用轉移模組410,於未破壞真空下,將工件600從第一蝕刻模組430轉移至第二成膜模組420或422。應注意的是,該共同製造站台500可經修改而增加成膜模組420於每一線440及450中第一與第二蝕刻模組430之間,以配合操作708。
接著,在不離開受控環境下,如不破壞真空,使用一或更多轉移模組410,以將工件600轉移至亦設於共同製造站台400或500上之第二蝕刻模組430。於操作710中,於第二蝕刻模組430中對第二薄膜630蝕刻,以在第一側壁間隔物622側壁上留下第二薄膜630,此剩餘的第二薄膜630形成第二側壁間隔物632,如圖6E所示。舉例說明,操作710可為第二間隔物反應性離子蝕刻(RIE)製程,其形成第二側壁間隔物632。
接著,在不離開受控環境下,如不破壞真空,使用一或更多轉移模組410,以將工件600轉移至亦設於共同製造站台400或500上之第三蝕刻模組430。於操作712中,接著進行間隔物拔除製程,該間隔物拔除製程選擇性地移除第一側壁間隔物622,並留下形成第二側壁間隔物632之剩餘第二薄膜630及心軸圖案610,如圖6F所示。該間隔物拔除製程可於操作706或710中使用之相同蝕刻模組430中進行,或在設於共同製造站台400或500上之另一蝕刻模組430中進行。使用一或更多轉移模組410,在未離開受控環境下將工件從一蝕刻模組轉移至另一蝕刻模組430,若第三蝕刻模組430以不同於第二蝕刻模組之參數(如不同真空壓力)操作,則可於轉移模組中對受控環境做調整。在移除第一側壁間隔物622後,保留的第二側壁間隔物632及心軸圖案610形成新特徵圖案,與心軸圖案610之特徵或心軸數量相比,其具有三倍的特徵數量,且具有心軸圖案610三分之一的間距。
可於圖7之操作714中利用第二側壁間隔物632及心軸圖案610,以將圖案轉移至下伏層606中,形成圖6G中的三重圖案608。
類似於圖3之製程流程300,於圖7之製程流程700中,該方法可包含於整個整合方法之任一不同時間下使用主動阻絕系統進行度量,其未離開受控環境,如未破壞真空。該主動阻絕系統可包含共同製造站台400或500上之單個度量模組或工件測量區,或是可包含共同製造站台400或500上之多個度量模組或工件測量區。每一度量操作是可選的,如圖7中虛線所示,但可於製程流程中一或更多點處有利地進行,以確保工件600於規格內,降低缺陷率及EPE。
不再重覆製程流程300敘述中提到的細節,現將簡要地描述可選的度量操作。操作750包括可選地執行度量以獲得與輸入工件之屬性相關的測量數據,例如心軸圖案及/或下伏層(其上形成心軸圖案,且最終圖案將轉移到下伏層)的屬性,該測量數據可用於調整和/或控制操作704-714中任一者的製程參數。
操作752包含可選地進行度量,以獲得與工件(塗佈有共形的第一薄膜)屬性相關的測量數據,如第一薄膜、心軸圖案(受薄膜沉積影響)及/或下伏層(最終圖案將轉移至下伏層,且受薄膜沉積影響)之屬性,該測量數據可用以調整及/或控制操作706-714中任一者的製程參數,可用以對操作702或操作704中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復共形塗佈的第一薄膜,如上所述。
操作754包含可選地進行度量,以獲得與工件(具有經蝕刻之第一薄膜,其於心軸圖案側壁上形成第一側壁間隔物)屬性相關的測量數據,如第一側壁間隔物、心軸圖案(受間隔物蝕刻影響)及/或下伏層(受間隔物蝕刻影響)之屬性,該測量數據可用以調整及/或控制操作708-714中任一者的製程參數,可用以對操作702或操作704-706中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復心軸圖案側壁上之第一側壁間隔物,如上所述。
操作756包含可選地進行度量,以獲得與工件(塗佈有共形的第二薄膜)屬性相關的測量數據,如第二薄膜、心軸圖案(受薄膜沉積影響)、第一側壁間隔物(受薄膜沉積影響)及/或下伏層(受薄膜沉積影響)之屬性,該測量數據可用以調整及/或控制操作710-714中任一者的製程參數,可用以對操作702或操作704-708中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復共形塗佈的第二薄膜,如上所述。
操作758包含可選地進行度量,以獲得與工件(具有經蝕刻之第二薄膜,其於第一側壁間隔物側壁上形成第二側壁間隔物)屬性相關的測量數據,如第二側壁間隔物、第一側壁間隔物(受間隔物蝕刻影響)、心軸圖案(受間隔物蝕刻影響)及/或下伏層(受間隔物蝕刻影響)之屬性,該測量數據可用以調整及/或控制操作712-714中任一者的製程參數,可用以對操作702或操作704-710中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復第一側壁間隔物側壁上之第二側壁間隔物,如上所述。
操作760包含可選地進行度量,以獲得與工件(具有第二側壁間隔物及心軸圖案)屬性相關的測量數據,如第二側壁間隔物(受間隔物拔除影響)、心軸圖案(受間隔物拔除影響)及/或下伏層(受間隔物拔除影響)之屬性,該測量數據可用以調整及/或控制操作714之製程參數,可用以對操作702或操作704-712中進來之工件屬性進行後續工件之調整,或是可用以於繼續進行處理前修復工件。於一具體實施例中,當測量數據指出有一或更多屬性不符合目標條件,可將工件轉移至校正模組,以修復形成三倍化特徵圖案之第二側壁間隔物及/或心軸圖案。
本文所揭露之「度量模組」或「測量模組」意指可在工件上進行測量之模組/系統/感測器/工具,以檢測或確定工件上各種非均勻性或變化,如參數變化,或是檢測或確定工件上之缺陷,如某種污染。在此所用之用語「檢驗系統」通常指測量製程或模組之工具或系統,其測量並收集與測量相關之數據或信號。該些測量模組將進行測量並提供用於處理站台之數據,如本文進一步所揭示。用語「度量模組」及「測量模組」將於本文中互換使用,且通常意指用以檢測並測量工件屬性(屬性係表示工件及其上形成之層或裝置的處理)之測量或度量或感測工具。
為了使工件於各種處理模組間移動,該共同製造站台通常將合併有一或更多工件轉移模組,其設於共同製造站台上並配置成用於處理模組與測量模組之間的工件移動。測量模組可與類似於處理模組之工件轉移模組耦接。於本發明之一些具體實施例,如本文所揭示,測量模組或與其相關之檢驗系統係與轉移模組合併或是於轉移模組內部,以於工件在處理模組之間移動時提供測量或度量。例如,測量模組或其一部分可位於轉移模組之內部空間內。在此,該轉移與測量合併設備將稱為轉移測量模組(TMM)。
於一具體實施例中,包含有處理室及測量模組之共同製造站台係由系統主動控制,該系統係處理與工件屬性有關之測量數據並使用測得數據來控制處理序列中之工件的移動及處理。根據本發明之具體實施例,該控制系統利用測得數據及其他數據,部份地基於測得數據來進行校正處理,以提供處理序列之主動阻絕,俾以校正不均勻性及缺陷。更具體地說,主動阻絕控制系統係設於共同製造站台上,並配置成用以部份地基於測得數據來進行校正處理,其中工件之校正處理可於站台之處理模組(於製程序列之上游或下游)內進行,以解決檢測到的不合格或缺陷情況。於本發明之一具體實施例中,該工件係保持於受控環境中,舉例如於真空下。亦即,於共同製造站台上,處理模組及測量模組係於受控環境下操作,且該工件轉移模組使工件在未離開受控環境下於處理序列中之複數處理模組與一或更多測量模組之間轉移。
在此所用之用語「主動阻絕」通常指控制系統,其實施用以即時擷取關於各種製作製程之測量/度量數據,以獲得工件屬性上的數據,因而偵測到不合格或缺陷及控制之校正態樣,以校正或改善不合格或缺陷。該主動阻絕控制系統係利用該些數據,透過主動改變處理序列及/或進行製程步驟之操作模組,來進行半導體製作製程中各種不合格的校正及改善。因此,該主動阻絕控制系統亦與用以使工件移動通過該製程之一或更多轉移模組(如410)連接。該主動阻絕控制系統(圖8之822及圖9A-9D之922,如下進一步所述)係配合數據收集及數據分析與製作流程不合格之偵測,而進一步引導多個處理模組之動作,以解決偵測到的不合格或缺陷。該主動阻絕控制系統通常是藉由如本文所述之一或更多電腦或運算裝置來實施,其中電腦或運算裝置係操作特別設定的程式組,如本文中被統稱為主動阻絕構件之深度學習程式或自主學習構件。可理解的是,主動阻絕控制系統可合併有多個程式/構件,以配合來自各種測量模組之數據收集及後續分析。該主動阻絕控制系統與共同製造站台中之多個處理模組連接,以解決各種測得的不合格/缺陷,並校正或改善該些不合格/缺陷。因此,該主動阻絕控制系統將控制一或更多該些處理模組及處理序列,以達到本發明所欲結果,其可稱為目標條件或預定閥值。
該主動阻絕控制系統亦控制轉移模組,以於偵測到不合格/缺陷時移動工件至上游及/或下游處理模組。亦即,根據檢測到的情況,本發明之系統可將工件沿著處理序列進一步往前移動,或者可能將工件引導至校正模組或至上游處理模組以校正或解決偵測到的不合格或缺陷。藉此,通過轉移模組提供前饋及反饋機制,以提供本發明之主動阻絕。此外,處理序列可針對未來的工件在上游或下游受到作用。
本發明之主動阻絕特徵使用收集到的測量/度量數據,利用批次(run-to-run)、晶圓到晶圓、晶圓內及即時製程控制,來改善製造製程的效能、良率、產出(throughput)及靈活度。測得的數據係於處理期間即時收集,且不使工件/基板/晶圓從受控處理環境移出。根據本發明之一特徵,於共同製造站台中,可於基板保持於受控環境(舉例如真空下)中之同時擷取測量數據。亦即,工件轉移模組係配置成用以在不離開受控環境下使工件於複數處理模組與測量模組之間轉移。該主動阻絕控制可提供與前饋及反饋機制一起發展之多變量基於模型的系統,以基於輸入的工件及模組或工具狀態特性來自動確定每一工件之最佳配置。該主動阻絕控制系統使用製造測量數據、製程模型及複雜控制演算,以提供增進最終裝置目標之中間製程目標的動態精細調整。該阻絕系統使用如本文所述之類似建構區塊、概念及演算法,而在共同製造站台上之單個腔室、製程工具、多工具、製程模組及多製程模組範圍實現可擴增之控制解決方案。
圖8為共同製造站台800上實施本發明具體實施例之另一系統示意圖。該站台800合併有複數處理模組/系統,其用以根據本發明具體實施例於主動阻絕控制系統822之控制下進行整合型工件處理及工件測量/度量。圖8顯示本發明具體實施例中一或更多工件測量模組係藉由一或更多轉移模組而與一或更多工件處理模組耦接在一起。藉此,根據本發明之特徵,可進行工件之檢驗,以於工件保持於共同製造站台內時提供與工件屬性有關之測量數據,如工件及形成於工件上之各種薄膜、層及特徵的相關材料特性。如本文所討論,一旦完成處理步驟後,如蝕刻或沉積步驟,即可立即進行測量與分析,且可對收集到的測量數據進行分析,接著用於共同製造站台內,以解決超出規格或不合格或代表有工件設計參數相關缺陷之任何測量或特徵。工件不需從共同製造站台移出以進行校正動作,而是可保持於受控環境下。
參考圖8,其示意性地示出共同製造站台800。站台800包含前端模組802,其用以將一或更多工件引導進入製造站台。如圖所示,該前端模組(FEM)可合併有容置工件之一或更多匣。該前端模組可保持於大氣壓下,但以惰性氣體沖洗,以提供清潔的環境。接著一或更多工件可例如通過本文所述之一或更多負載鎖室(圖未示),轉移至轉移模組810中。圖8之轉移模組為轉移測量模組(TMM),其包含整合於其中之測量工具或檢驗系統,用以從工件擷取數據。可介接多個TMM 810,以使工件依所欲順序移動。該些轉移測量模組810與複數處理模組耦接。此等處理模組可提供各種不同處理步驟或功能,並可包含一或更多蝕刻模組830、一或更多成膜模組820、一或更多清潔模組840、及一或更多測量模組812a、812b、812c、812d。於本文進一步所揭示之本發明具體實施例中,可於每個處理步驟之前或之後,透過轉移模組810存取測量模組。於一具體實施例中,該些測量模組(如812c、812d)係類似諸多處理模組,位於轉移模組810外部,並可受存取以插入並接收工件,該些測量模組於本文中可稱為度量模組,其置於共同製造站台800之受控環境內。或者,測量模組或其至少一部分,如模組812a、812b,可位於相應的轉移模組中。更具體地說,測量模組812a、812b之全部或一部分可位於轉移模組810中,以定義出一測量區,其中工件可於轉移製程期間定位於該測量區內以進行測量。該測量區位於轉移模組810之專用區域中,且可透過用以定位工件之轉移模組的轉移機構進入測量區。如上所述,此使得轉移模組基本上可為本文所述之轉移測量模組(TMM)。
一般而言,轉移模組在其中定義出容納轉移機器人之腔室,該轉移機器人能夠於真空下透過各種閘閥及進入或轉移埠,使工件移動至各種處理模組或測量模組 中。藉由保持測量模組於共同製造站台800上,即可例如於一或更多處理步驟之間立即進入測量模組,以提供運行中必要的測得分析數據,此等數據將用以解決不符規格或與特定工件之工件設計規劃不一致之任何工件,或是解決可測得的缺陷。藉此,提供即時數據,以使製造者得以早期獲知系統中的問題,因而可根據擷取到的數據及測得的不合格或缺陷,於當前的處理序列中採取補救措施,例如,於後續處理步驟中、於先前處理步驟中、及/或於未來處理步驟中。據此,可提高生產率及效率,可減少製程監控之額外花費,並可減少廢棄或排除工件之浪費產品。此皆可為製造商或設備製造商省下大量成本。
如上所述,於合併有主動阻絕控制系統822之本發明具體實施例中,一或更多測量模組係設於具有處理模組之共同製造站台上,以提供與工件屬性相關之測量數據。該數據被主動阻絕控制系統822利用來偵測不合格,並用以於偵測到不合格時進行工件校正處理。該工件校正處理係當偵測到不合格時於製程序列中的上游及/或下游處進行。
參考圖9A,其顯示適於實施ASD方法之例示性共同製造站台900。該共同製造站台900合併有多個模組及用以進行半導體基板處理之處理工具,以製作積體電路及其他裝置。該共同製造站台900合併有一或更多度量/測量模組,其與處理模組一起併於共同製造站台900內。例如,該站台900可合併有複數處理模組,其耦接至如圖所示之轉移模組。於一些具體實施例中,一測量模組或工具亦可至少部分地位於轉移模組內。藉此,可對工件進行處理並接著將其立即轉移至測量模組,以收集與工件屬性相關之各種製造數據,而工件再進一步經過主動阻絕控制系統處理。該主動阻絕控制系統收集來自處理及測量模組之數據,並透過選擇性移動工件並控制一或更多的複數處理模組來控制共同製造站台上執行的製程序列。此外,該站台900之處理系統可使轉移模組之腔室內的工件在不離開共同製造站台900之受控環境下而於各種處理模組與測量/度量模組之間轉移。該主動阻絕控制系統利用從一或更多測量模組獲得的工件測量得到的信息,控制通過各種處理模組之連續製程流程。再者,該主動阻絕控制系統合併有處理模組原位測量及數據,以控制通過該站台900之連續製程流程。可單獨使用受控環境中獲得之基板上測量數據或與原位處理模組測量數據合併使用,以根據本發明進行製程流程控制及製程改進。
再次回到圖9A,共同製造站台900含有前端模組902,以將工件引入受控環境中。該例示性站台900包含安排圍繞於工件轉移模組910周圍之複數處理模組920a-920d及一或更多測量/度量模組916。共同製造站台900包含匣模組904及耦接至前端模組902之負載鎖室908。該前端模組902通常保持於大氣壓力下,但可透過用惰性氣體沖洗來提供清潔環境。負載鎖室908耦接至集中式工件轉移模組910,並可用於將工件從前端模組902轉移至工件轉移模組910,以於站台900之受控環境中進行處理。
該工件轉移模組910可保持於非常低的基礎壓力(如5×10-8 托耳或更低),或是用惰性氣體不斷沖洗。根據本發明,測量/度量模組916可於大氣壓力下操作或於真空條件下操作。根據一具體實施例,該測量模組916係保持於真空條件下,且晶圓於站台900中進行處理並於未離開真空下測量。如本文進一步所揭示,該度量模組可包含一或更多檢驗系統或分析工具,其能夠測量工件及/或沉積於工件或裝置(形成於工件上)上之薄膜及層之一或更多材料的特性或屬性。在此所用之用語「屬性」係用於意指工件、工件上的層、工件上的特徵或裝置等之可測得的特徵或特性,其反映了處理序列之處理品質。接著,藉由透過主動阻絕控制系統來分析測得數據及其他原位處理數據,以使用與屬性相關之測得數據來調整製程序列。例如,測得的屬性數據反映了工件上的不合格或缺陷,以提供校正處理。
圖9A顯示基本上單個測量模組916。然而,該特定共同製造站台900可結合複數此等測量模組,其結合於一或更多工件轉移系統(如工件轉移模組910)周圍。此等測量模組916可為獨立模組,可像處理模組一樣,透過轉移模組910進入測量模組。此等獨立模組一般將併有檢驗系統於其中,其配置成用以銜接位於模組之測量區的工件,並用以測量與工件屬性相關的數據。
於本發明之替代具體實施例中,測量模組可於轉移模組910所定義之轉移腔室內部空間之專用區域內的測量區實施。另外,可結合測量模組,其中測量模組之至少一部分係位於工件轉移模組之內部空間內,而測量模組之其他構件或測量模組之特定檢測系統則結合於工件轉移模組外,其通過孔或窗連接於形成測量區之內部空間的專用區域中,其中工件係位於測量區中或是工件將通過測量區。
本發明系統及站台之測量模組包含一或更多檢驗系統,其可操作用以測量與工件屬性相關之數據。此數據可能與反應處理序列品質及形成於工件上之層、特徵及裝置品質之一或更多屬性相關。接著,藉由用以偵測工件或工件層/特徵上不合格及/或缺陷之主動阻絕控制系統,將收集到的測量數據與處理模組數據一起進行分析。該系統接著例如於製程序列中之上游或下游處理模組中提供工件之校正處理,以改善/校正不合格或缺陷並且改進整個製程。
根據本發明之具體實施例,由測量模組或其檢驗系統進行測量,且所產生的數據與工件之一或更多屬性相關。例如,測得的屬性可包含例如下列一或更多者:工件上之層的層厚度、層共形性、層覆蓋率、層輪廓、邊界置放位置、某些特徵之邊界置放誤差(EPE)、臨界尺寸(CD)、塊臨界尺寸(CD)、柵臨界尺寸(CD)、線寬粗糙度(LWR)、線邊緣粗糙度(LER)、塊LER、柵LER、選擇性沉積製程相關之特性、選擇性蝕刻製程相關之特性、物理特性、光學特性、電性特性、折射率、電阻、電流、電壓、溫度、質量、速度、加速度、或與工件上製造的電子元件相關之一些組合。本發明用以產生本測量數據之測得屬性的列表不受限制,其可包含可用於處理工件及製造裝置之其他屬性數據。
如本文進一步討論,用以提供屬性數據之測量模組及/或檢驗系統可實現用於測量之若干工具及方法,以進行本發明之測量及度量。測量模組及/或檢驗系統可包含光學方法或非光學方法。光學方法可包含高解析度光學成像及顯微術(例如明場、暗場、同調/非同調/部分同調、偏振、諾馬斯基(Nomarski)等)、高光譜(多光譜)成像、干涉術(例如,相位移法、相位調變、微分干涉對比、外差、傅立葉變換、頻率調變等)、光譜法(例如,光發射、光吸收、諸多波長範圍、諸多光譜解析度等)、傅立葉轉換紅外光譜(FTIR)反射法、散射法(Scatterometry)、光譜橢圓偏振法、偏光法、折光儀等。非光學方法可包含電子方法(例如,RF、微波等)、聲學方法、光聲方法、質譜儀、殘餘氣體分析儀、掃描電子顯微鏡(SEM)、穿透電子顯微鏡(TEM)、原子力顯微鏡(AFM)、能量色散X射線譜(EDS)、X光光電子發射能譜(XPS)等。例如,用於測量與工件屬性相關數據之檢驗系統可以使用一個或更多以下技術或裝置:光學薄膜測量,例如反射測量、干涉測量、散射測量、輪廓測定、橢圓測量;X射線測量,例如X光光電子發射能譜(XPS)、X射線螢光(XRF)、X光繞射(XRD)、X光反射(XRR);離子散射測量,如離子散射光譜、低能離子散射(LEIS)光譜、俄歇電子能譜(Auger electron spectroscopy)、二次離子質譜、反射吸收紅外光譜、電子束檢測、顆粒檢測、顆粒計數裝置和檢測、光學檢測、摻雜物濃度度量、膜電阻率度量,如4點探頭、渦電流測量;微量天平、加速度計測量、電壓探頭、電流探頭、熱測量溫度探頭或應變計。本發明用於產生測量數據的測量技術或裝置的列表不受限制,其可包含用於獲得根據本發明處理工件及製造裝置之有用數據的其他技術或裝置。
測量模組及/或檢驗模組可於通過處理系統之各種基板或工件結構(包含產品工件或非產品基板,即監控基板)上進行測量。於產品工件上,可於指定目標結構(指裝置相似結構及裝置相異結構兩者)上、具體指定裝置區域上或任意區域上進行測量。亦可於形成於工件上之測試結構上進行測量,其可能包含間距結構、區域結構、密度結構等。
再次參考圖9A,複數處理模組920a-920d耦接至轉移室910,該等複數處理模組920a-920d係配置成用以處理基板,如半導體或矽(Si)工件。該些Si工件可例如具有150 mm、200 mm、300 mm、450 mm或大於450 mm之直徑。該些諸多處理模組及測量模組皆通過例如具有閥G之適當閘之通道埠,而與工件轉移模組910介接。根據本文所揭示之本發明一具體實施例,第一處理模組920a可能於工件上進行處理製程,而第二處理模組920b可能於工件上形成自對準單層(SAM)。第三處理模組920c可藉由適合的選擇性沉積製程來沉積膜於工件上,且第四處理模組920d可選擇性蝕刻或清潔工件。
轉移模組910係配置成用以使工件於任何處理模組920a-920d之間轉移,並接著於特定處理步驟之前或之後將工件移至度量模組916。圖9A進一步示出閘閥G,其於相鄰處理室/工具構件間之通道埠處提供隔離。如圖9A之具體實施例所繪示,該些處理模組920a-920d及度量模組916可藉由閘閥G直接耦接至轉移室910,此等直接耦接可改善根據本發明之基板產出。
共同製造站台900包含一或更多控制器或控制系統922,其可被耦接,以於本文所揭示之整合型處理及測量/度量製程期間控制圖9A所示之諸多處理模組及相關的處理室/工具。控制器/控制系統922亦可耦接至一或更多額外控制器/電腦/數據庫(圖未示)。控制系統922可通過網路,從額外控制器/電腦或伺服器獲得設定及/或組態資訊。該控制系統922係用於配置且運行任何或所有處理模組及處理工具,且收集來自諸多測量模組之數據及來自處理模組之原位數據,以提供本發明之主動阻絕。控制器922收集、提供、處理、儲存並顯示來自處理模組及工具構件之任何或所有的數據。如本文進一步所述,該控制系統922可包括許多不同程式及應用程式及處理引擎,以分析測得數據及原位處理數據,並進行運算,如深度學習網路、機器學習運算、自主學習運算及其他用以提供本發明之主動阻絕之運算。
如本文進一步所述,該主動阻絕控制系統922可於具有微處理器、合適記憶體及數位I/O埠之一或更多電腦裝置中執行,並且能夠產生足以聯繫、啟動對站台900之各種模組的輸入、以及與站台900上運作之基板處理系統交換資訊的控制信號及電壓。該控制系統922監控來自站台900之處理系統的輸出以及來自站台之各種測量模組的測得數據,以運行站台。例如,可利用存於控制系統922之記憶體中的程式,以根據製程配置或序列,啟動對諸多處理系統及轉移系統之輸入,以進行所欲之整合型工件處理。
控制系統922亦使用測得數據以及由處理模組輸出的原位處理數,以檢測工件中的不合格或缺陷並提供校正處理。如本文所討論,控制系統922可以通用型電腦系統來實施,其進行本發明基於微處理器之處理步驟的一部份或全部,以響應處理器執行包含於記憶體中之程式裡的一或更多指令之一或更多序列。此等指令可從另一電腦可讀媒介(例如硬碟或可移動媒體驅動器)讀入控制系統記憶體。亦可採用多處理設置中之一或更多處理器作為控制系統微處理器元件,以執行包含於記憶體中之指令序列。在替代具體實施例中,可使用固定電路來代替軟體指令或與軟體指令相結合以執行本發明。因此,具體實施例不限於用於執行如本文所討論之本發明度量驅動器製程的固定電路及軟體之任何特定組合。
該主動阻絕控制系統922可相對於站台900而本地設置,或者可相對於站台900而遠端設置。例如,控制器922可利用直接連結、內部網路連結、網際網路連結、或無線連結其中至少一者與站台900交換數據。控制系統922可在例如客戶位置(亦即裝置製造商等)處耦接至內部網路,或其可在供應商位置(亦即設備製造商)處耦接至內部網路。此外,舉例來說,控制系統922可透過適當有線或無線連結,耦接至其他系統或控制器。再者,另一電腦(亦即控制器、伺服器等)可存取例如控制系統922,以經由直接有線連結或無線連結(如內部網路連結及/或網際網路連結)之至少一者交換數據。亦如本領域熟悉技藝者所知,控制系統922能經由適當的無線或有線連結來與共同製造站台900之模組交換數據。處理模組可具有自己的各別控制系統(圖未示),其採用輸入數據以控制處理室及工具與模組之次系統,並於處理序列期間提供與製程參數及度量有關之原位輸出數據。
具體參考圖9A及9B,根據一具體實施例,可於測量/度量模組916中獲得測量數據,該測量/度量模組916為站台900上耦接至轉移模組的獨立模組。一般而言,轉移模組910具有結合一或更多轉移機構或機器人914之腔室,轉移機構或機器人914將處理並移動工件通過腔室的內部空間,並將工件移入或移出處理序列中之處理模組。
更具體地說,轉移機構914係位於轉移模組910之內部空間913內,其可定義出受控環境,並配置成用以使工件移動通過內部空間和環境,且選擇性地進出複數處理模組920a-920d及測量模組916,或者進出內部空間之專用區域中的測量區,以使測量檢驗系統量測數據。根據本發明之一特徵,由於轉移模組910之內部空間913與處理模組920a-920d及測量模組916在共同製造站台900上耦接在一起,故可對一般通過大部分或全部測量及處理序列之工件保持受控環境。此受控環境可包含轉移模組或測量模組中之真空環境或惰性氣體大氣。
轉移模組910包含複數通道埠或側埠,其各自具有合適的閘G,工件通過閘移動至該複數處理模組920a-920d以及自該複數處理模組920a-920d移出。為了提供站台900上有效產出之必要處理序列,該複數處理模組920a-920d包含處理共同站台上各種工件處理步驟的模組,其包含一或更多蝕刻模組及一或更多成膜或沉積模組。如圖9A所示之測量模組916通過合適的閘G亦於側埠或通道埠之一者處與轉移模組910耦接。於其他具體實施例中,測量模組於轉移模組頂部中形成的埠處與轉移模組耦接。於本文所述之進一步具體實施例中,轉移模組亦作為測量模組,其中用於擷取測量數據之測量模組的至少一部分係結合或定位於轉移模組之內部空間內。此具體實施例中之轉移測量模組(TMM),如圖9C-9D所示,包含位於轉移模組內部空間之專用區域內的測量區域。
當基板依照處理序列而於一或更多處理模組與測量/度量模組916之間移動時,該主動阻絕控制系統922通常是在運行中收集工件測量數據。擷取數據接著進行分析及處理,以檢測不合格及缺陷,並提供本文所討論的校正處理。該主動阻絕控制系統922對序列的處理步驟提供必要控制,以對所執行的各種製造處理步驟進行控制調整,俾以校正檢測到的不合格/缺陷。可對擷取測量數據之前或其上游的處理步驟及處理模組及/或依序於測量數據之後或其下游的處理步驟進行調整。或者,合適的校正動作或校正處理可包含從站台900退出工件,以免在不可留存之工件上浪費更多時間和材料。
參考圖9B,顯示一種例示性測量模組916,其合併有檢驗系統930,用以相關於共同製造站台900上執行的處理序列即時地於工件上進行測量。
檢驗系統930測量與工件屬性相關的數據,如本文所述。 檢驗系統930結合了一或更多信號源932,其將測量信號934引向工件936。入射信號934從工件936表面反射或散射,且散射信號935係由偵測器940擷取。該些偵測器940產生測量數據950,而測量數據950接著被引導至本文所述之主動阻絕控制系統922。 於一具體實施例中,工件936被轉移機構914定位於測量站台938上,測量站台938可如圖9B中箭頭所示左右上下位移並旋轉,使得測量信號934可被導向工件936上的諸多適當位置。
亦即,於圖9B之具體實施例中,測量模組包含用以支撐位於測量模組916中之工件936的獨立支撐機構938。檢驗系統接合支撐機構938,用於測量與受支撐於支撐機構上之工件屬性相關的數據。 在此情況下,測量模組916中的支撐機構938係大致與以其他方式移動工件936並將其設置在支撐機構上的轉移機構分離。
獨立的支撐機構平移工件936,例如通過垂直及/或水平移動,並且亦可旋轉工件936,以提供至少兩個自由度,用於測量與工件936屬性相關的數據,如本文所討論。 支撐機構亦結合溫度控制元件於其中,用於控制工件溫度。 因此,於圖9B之具體實施例中,在工件936由轉移機構設置在支撐機構上後,支撐機構提供量測資料所必須的工件之支撐及移動。於替代具體實施例中,轉移機構可提供支撐和移動工件936的功能,以與檢驗系統930接合,俾以測量與工件936上屬性相關的數據。
擷取的測量數據950接著可被引導至控制系統922,並進一步進行評估及分析,以確定被測工件之特定動作。若測量數據指出測得參數在所欲設計與製造製程的規格內,及/或沒有可操作的測得缺陷,則工件可繼續正常地通過站台900內的製程流程。或者,若測得數據950指出工件超出校正或改善的程度,工件可能退出進一步的處理。或者,根據本發明具體實施例,主動阻絕控制系統922可分析數據並提供校正處理,以作為將對該工件採取或將於整個製程流程之各種處理步驟中進行之一或更多校正步驟,俾以校正當前工件,並且亦防止需對站台900上隨後處理之其他工件中進行校正動作。具體地說,參考圖9B,主動阻絕控制系統922可結合一或更多處理步驟及處理構件於其中,以對製程流程產生校正。首先,可擷取並預處理必要的測量數據950,如方塊954所示。接著,對擷取數據以及與一或更多處理模組及處理步驟相關聯的任何原位處理數據進行建模及數據分析,如方塊956所示。建模及分析可利用人工智慧,包含深度學習和自主學習程式及構件。接下來,分析可提供校正製程控制,其中控制一或更多處理步驟及處理模組,以校正或改善層中所感知或檢測到的不合格或缺陷以及不符合關於工件製造之整體設計規格的特徵。可對一或更多處理步驟或處理模組提供方塊958之校正製程控制,其根據所欲設計可在整個基板製造中應用至測量數據950擷取之前(上游)的一或更多處理步驟,或者可應用至測量數據950擷取之後(下游)的一或更多製程步驟。主動阻絕控制系統922及方塊954、956及958所示之製程可結合於由控制系統922之一或更多電腦及/或該系統之構件運行的軟體中。
根據本發明具體實施例,用於獲得測量數據之檢驗系統係藉由根據測得屬性或測量類型所進行之接觸式測量或度量或非接觸式測量或度量,以接合工件。可使用接觸式與非接觸式測量之組合。根據檢驗系統的位置,檢驗系統之一部分可部分地或完全地位於模組之內部空間或腔室內。如本文所揭示之圖9A具體實施例中,專用測量模組916可完全含有檢驗系統。或者,測量模組之一部分可位於腔室的內部空間內,例如於工件轉移模組之內部空間內,而測量模組之另一部分位於腔室外。此具體實施例示於圖9D中作為舉例,其中轉移測量模組係顯示為使用位於轉移室內部空間的專用區域內之測量區,而檢驗系統係配置成用以接合位於測量區中之工件,以測量與工件上屬性相關的數據。
固持工件936之支撐機構938或轉移機構914可位移及旋轉,以提供對工件936上諸多區域的測量。藉此,可在整個工件之各個部位或區段擷取測量數據。 因此,可進行連續測量或逐點測量,因而減少總測量時間和處理時間。
例如,檢驗系統係在等於或超過1平方公分的工件之部分量測資料。或者,檢驗系統係測量或成像等於或超過工件之有效表面積的90%之工件的實體部分。如上所述,檢驗系統可在工件之工作表面上的多個分開位置處進行測量,或者可在工件之一部分上進行連續測量序列。例如,檢驗系統可沿著延伸橫跨工件或部分橫跨工件之路徑進行測量。此路徑可包含線、一系列的線、弧形、圓形曲線、螺旋曲線、阿基米德螺旋線、對數螺旋線、黃金螺旋線或其一些組合。又,可具有若干檢驗系統,其中源/偵測器對932、940可各自表示來自不同檢驗系統之不同檢驗信號,並且可為不同形式的信號。例如,取決於檢驗系統,其中一源/偵測器對932、940可使用光信號,而另一源/偵測器對932、940可使用電磁信號。
檢驗系統可在工件位於測量模組中或於轉移測量模組之專用區域中時,在工件上進行屬性之多次測量,如本文所討論。 可即時同時進行測量。 亦即,不同的檢驗系統可能同時進行測量。 或者,各種檢驗系統可在不同時間操作。 例如,對於一種類型之測量或檢驗系統而言,可能需要移動工件或將工件定位在一個位置,接著通過相同或不同類型的檢驗系統移動或定位工件以進行另一次測量。
檢驗系統可以是用於提供非接觸式測量及度量之非接觸式系統。 或者,測量模組或轉移測量模組之一或更多檢驗系統可使用接觸式感測器,其可移動並定位於工件表面處以進行測量。 根據本發明提供之檢驗系統可結合接觸式檢驗系統與非接觸式檢驗系統之組合,其用於收集與工件屬性相關的測量數據。
如上所述,於測量模組或轉移測量模組中執行之的檢驗系統可以是固定不動的,而支撐機構或工件轉移機構則移動工件,以與檢驗系統接合,並於工件之不同區域中進行測量。 或者,相對於工件支撐機構938、工件轉移機構914及模組,檢驗系統930或其一些部分為可移動的。 檢驗系統可配置成用以相對於固定不動之工件位移及/或旋轉,以從工件的區域獲得測量數據。
於本發明之其他具體實施例中,檢驗系統可嵌於工件支撐機構中或者是工件支撐機構的一部分。 檢驗系統930可安設或支撐於支撐機構938上。接著,當工件定位在支撐機構上時,其將處於適當位置,以由檢驗系統接合。 檢驗系統930可嵌於支撐機構中,以位於定位的工件下方或以其他方式接近定位的工件,以提供與例如工件之質量測量或溫度測量相關的測量數據。
圖9C顯示本發明一具體實施例中結合轉移模組910'之共同製造站台900',其利用專用區域來形成測量區,其中可在傳送期間從工件收集測量數據。 藉此,如本文所述,工件可於保持於受控環境(例如真空環境)內時進行處理及測量。 工件無需離開站台900'之環境,以確定製程如何進行並用於偵測任何不合格或缺陷。 據此,圖9C所示之具體實施例形成轉移測量模組(TMM),其可與一或更多處理模組一起使用或者作為共同製造站台的一部分。 此外,可利用多個轉移測量模組,並將其介接在一起,以協作並形成更大的共同製造站台。
結合於轉移測量模組(TMM)內之檢驗系統係於本文所述之其他檢驗系統中操作,並且類似於此處所述之其他檢驗系統。 圖9D中所示之此等檢驗系統僅示出某些檢驗系統。 然而,其他檢驗系統和特徵,例如上文所討論,亦將應用於圖9C中所示之轉移機構模組。 據此,圖9C-9D中使用一些如前所述之共同參考標號。
站台900'結合了提供測量/度量數據之工件轉移模組910'。 轉移測量模組(TMM)910'包含工件轉移機構,例如在轉移室913之內部空間內的處理機器人914形式。如同於站台900中一般,轉移機構914可經操作,以移動一或更多工件通過轉移模組910'或於各種處理模組(耦接至共同製造站台中之轉移模組910')之間移動一或更多工件。根據本發明之一特徵,轉移室913定義出內部空間,其包含用於測量的專用區域。TMM 910'之測量區915位於專用區域中。 測量區/區域915靠近一或更多檢驗系統930以進行測量。
更具體地說,測量區域915係位於轉移室913內,以免干擾轉移模組在整個製程序列中移動工件及進出諸多處理模組之主要目的。測量區定義出一或更多位置來放置工件以進行測量。為此,一或更多檢驗系統配置成用以接合位於轉移室913之測量區中的工件。該檢驗系統接著可操作以根據本發明來測量與工件上屬性相關聯的數據。如本文所揭示之檢驗系統所述,支撐機構可位於測量區915內,用於在檢驗系統收集測量數據期間支撐工件。或者,轉移機構914可在轉移室之測量區915內提供工件的定位和支撐。根據本發明具體實施例,工件可於處理序列期間移動到測量區915中或是通過測量區915,以從與該測量區相關聯之一或更多檢驗系統獲得測量數據。雖然圖9C係為了說明目的示出了單個測量區,但可將多個測量區915結合至TMM 910'中。
參考圖9D,TMM模組910'結合了位於測量區915內之一或更多檢驗系統930,並提供在處理序列期間獲得即時測量及測量數據的能力。 於一具體實施例中,TMM 910'內的測量區915結合了支撐機構938,其從機構914接收工件以在腔室913內進行測量。測量數據是在工件於處理模組之間移動時擷取。 如上所討論,或者,轉移機構或機器人914實際上可作為使工件相對於TMM 910'中檢驗系統930移動之支撐機構。 再者,TMM 910'中之檢驗系統930亦可結合固定不動的工件而其中檢驗系統930本身會移動。 類似地,檢驗系統930可被結合作為支撐機構的一部分或嵌入支撐機構。
測量模組或檢驗系統930可完全包含於TMM 910'中以進行測量。於其他具體實施例中,測量模組或檢驗系統之至少一部分位於TMM 910'的內部空間內,以於內部空間之專用區域內定義出測量區,如圖9D所示,而其他部分可留於TMM 910'之外。更具體地說,測量區915被定義出並且位於轉移室913之內部空間的專用區域內。檢驗系統930之信號源和信號偵測器元件可位於轉移室內部空間913外部,而用於支撐工件936之工件支撐機構938及轉移機構914則包含於轉移室913內。為此,檢驗訊號934通過對來自檢驗系統930之檢驗訊號934呈有效通透的適當通道埠942,並進入內部空間以與定位在量測區域915中的工件936互動接觸。如上所述,檢驗信號934可包含電磁信號、光信號、粒子束、帶電粒子束或此等信號之一些組合。可以適當地形成通道埠942,以與特定檢驗系統和檢驗信號源一起操作。例如,通道埠942可包含窗口、開口、閥門、擋板和光圈,或是用於形成通道埠之不同結構的一些組合,以使入射檢驗信號得以接觸工件936。為此,檢驗系統930之至少一部分可通常位於轉移室913的頂表面上方。
附加優點和修飾對本領域熟悉技藝者而言為顯而易見。 因此,本發明在其更廣義的態樣不受限於具體細節、代表性設備和方法、以及顯示和描述的例示性實例。據此,在不脫離總發明構思之範圍下,可偏離此等細節。
100、600、936‧‧‧工件
104‧‧‧基板
106、606‧‧‧下伏層
108‧‧‧雙重圖案
110‧‧‧第一心軸圖案
120、620‧‧‧第一薄膜
122、622‧‧‧第一側壁間隔物
222‧‧‧第二心軸圖案
230、630‧‧‧第二薄膜
232、632‧‧‧第二側壁間隔物
236‧‧‧四重圖案
300、700‧‧‧製程流程
310‧‧‧箭頭
400、500、800、900、900'‧‧‧共同製造站台
402a、402b、802、902‧‧‧前端模組
410、410a、410b、410c、410d、410e、410f、410g、410h‧‧‧轉移模組
420、422、820‧‧‧成膜模組
424‧‧‧批次/去批次模組
426‧‧‧退出/重排模組
430、430a、430b、430c 、430d、430e、430f‧‧‧蝕刻模組
440、450‧‧‧線
610‧‧‧心軸圖案
608‧‧‧三重圖案
700‧‧‧製程流程
702‧‧‧步驟
704‧‧‧步驟
706‧‧‧步驟
708‧‧‧步驟
710‧‧‧步驟
712‧‧‧步驟
714‧‧‧步驟
750、752、754、756、758、760‧‧‧ 步驟
810、910'‧‧‧轉移測量模組
812a、812b、812c、812d‧‧‧測量模組
822‧‧‧主動阻絕控制系統
840‧‧‧清潔模組
904‧‧‧匣模組
908‧‧‧負載鎖室
910‧‧‧工件轉移模組、轉移室
913‧‧‧內部空間、轉移室
914‧‧‧轉移機構或機器人
915‧‧‧測量區
916‧‧‧測量/度量模組
920a、920b、920c、920d‧‧‧處理模組
922‧‧‧主動阻絕控制系統
930‧‧‧檢驗系統
932‧‧‧信號源
934‧‧‧測量信號、入射信號、檢驗信號
935‧‧‧散射信號
938‧‧‧測量站台、支撐機構
940‧‧‧偵測器
942‧‧‧通道埠
950‧‧‧測量數據
G‧‧‧閘閥
併入於此且構成本說明書之一部份的該等隨附圖式說明本發明之具體實施例,且連同以上提供之本發明的大略敘述及以下提供之詳細敘述一起用以解釋本發明。
圖1A-1E為顯示自對準雙重圖案化方法之一具體實施例的示意剖視圖。
圖2A-2D為顯示自對準四重圖案化方法之一具體實施例的示意剖視圖。
圖3為顯示自對準多重圖案化整合型製程流程之一具體實施例的流程圖。
圖4為顯示共同製造站台之一具體實施例的示意圖,其用以進行整合型自對準多重圖案化方法。
圖5為顯示共同製造站台之一具體實施例的示意圖,其用以進行整合型自對準多重圖案化方法。
圖6A-6G為顯示自對準三重圖案化方法之一具體實施例的示意剖視圖。
圖7為顯示自對準多重圖案化整合型製程流程之一具體實施例的流程圖。
圖8為顯示共同製造站台之一具體實施例的示意圖,其用以進行整合型處理步驟序列。
圖9A為顯示共同製造站台之一具體實施例的頂部示意圖,其用以進行整合型處理步驟序列,而圖9B為併於圖9A之共同製造站台中之測量模組的部分剖面側視圖。
圖9C為顯示共同製造站台之另一具體實施例的頂部示意圖,其用以進行整合型處理步驟序列,而圖9D為併於圖9C之共同製造站台中之測量模組的部分剖面側視圖。

Claims (20)

  1. 一種用於半導體工件上之自對準多重圖案化方法,其利用於共同製造站台上執行之整合型處理步驟序列,該共同製造站台設有複數處理模組,其包含一或更多成膜模組、一或更多蝕刻模組及一或更多轉移模組,該整合型處理步驟序列包含: 接收一工件進入該共同製造站台,該工件具有一心軸圖案形成其上,該心軸圖案包括以一第一間距相隔之若干第一特徵; 使用該一或更多成膜模組及該一或更多蝕刻模組,至少部分地基於該心軸圖案,以形成一側壁間隔物圖案,該側壁間隔物圖案包括以一第二間距相隔之若干第二特徵,該第一間距係大於該第二間距; 獲得與該側壁間隔物圖案之該形成相關的測量數據,該測量數據係用以判定該側壁間隔物圖案之厚度、寬度或輪廓; 當該側壁間隔物圖案之該厚度、寬度或輪廓未符合該側壁間隔物圖案之一目標厚度、寬度或輪廓時,透過以下或其兩者或更多之組合來修復該側壁間隔物圖案:(i)選擇性沉積額外材料至一結構上,(ii)共形沉積額外材料至一結構上,(iii)對一結構進行形狀修整,(iv)蝕刻一結構,(v)將摻雜物注入一結構中,(vi)移除並重新塗佈一結構之一材料層;以及 其中該整合型處理步驟序列係於該共同製造站台內之受控環境中執行,且不離開該受控環境,其中該一或更多轉移模組係用以於該複數處理模組之間轉移該工件,同時保持該工件於該受控環境內。
  2. 如申請專利範圍第1項所述之該方法,其中形成該側壁間隔物圖案之該步驟包括: 於該一或更多成膜模組之一者中共形塗佈一薄膜於該心軸圖案上方; 於該一或更多蝕刻模組之一者中將該薄膜自該心軸圖案之上表面及鄰接該心軸圖案之下表面移除,以於該心軸圖案之側壁上留下該薄膜,從而形成側壁間隔物; 於該一或更多蝕刻模組之一者中將該心軸圖案自該工件移除,以留下該些側壁間隔物,其中該些側壁間隔物形成該側壁間隔物圖案,其具有倍數於該已移除心軸圖案特徵之數量。
  3. 如申請專利範圍第2項所述之該方法,其中修復該側壁間隔物圖案之該步驟包括: 當該薄膜之共形性或均勻性未符合該薄膜之一目標共形性或目標均勻性時,透過移除該薄膜及重新塗佈該薄膜、共形塗佈一額外薄膜、蝕刻該薄膜、或其兩者或更多之組合,以修復該共形塗佈之薄膜;以及 當該些側壁間隔物之該厚度、寬度或輪廓未符合該些側壁間隔物之一目標厚度、寬度或輪廓時,透過選擇性沉積額外材料至該些側壁間隔物上、對該些側壁間隔物進行形狀修整、將摻雜物注入該些側壁間隔物中、或其兩者或更多之組合,以修復該些側壁間隔物。
  4. 如申請專利範圍第2項所述之該方法,其中該一或更多成膜模組包含用以共形塗佈該薄膜之至少一第一成膜模組,其中該一或更多蝕刻模組包含用以移除該薄膜之至少一第一蝕刻模組以及用以移除該心軸圖案之一第二蝕刻模組。
  5. 如申請專利範圍第2項所述之該方法,其中該整合型序列更包括: 使用該側壁間隔物圖案作為另一心軸圖案並重覆一或更多次之以下處理步驟:共形塗佈一薄膜、移除該薄膜、以及移除該另一心軸圖案,其中每次重覆會倍增特徵的數量直到達到目標圖案。
  6. 如申請專利範圍第5項所述之該方法,其中該一或更多成膜模組包含用以共形塗佈該薄膜之至少一第一成膜模組以及用以每次重覆共形塗佈該薄膜之該步驟之一額外成膜模組,其中該一或更多蝕刻模組包含用以移除該薄膜之至少一第一蝕刻模組、用以移除該心軸圖案之一第二蝕刻模組、以及用以每次重覆移除該薄膜及移除該另一心軸圖案之該些步驟之兩個額外蝕刻模組。
  7. 如申請專利範圍第2項所述之該方法,其中移除該薄膜之該步驟包括在鈍化該薄膜與蝕刻該薄膜之間交替。
  8. 如申請專利範圍第2項所述之該方法,其中移除該心軸圖案之該步驟包括在鈍化該心軸圖案與蝕刻該心軸圖案之間交替,直到該心軸圖案之該些特徵自該工件移除。
  9. 如申請專利範圍第2項所述之該方法,其中該心軸圖案包括選自由矽、非晶碳、及光阻聚合物所組成材料群組之一材料。
  10. 如申請專利範圍第2項所述之該方法,其中該薄膜包括氧化層、氮化層、或其組合。
  11. 如申請專利範圍第1項所述之該方法,其中形成該側壁間隔物圖案之該步驟包括: 於該一或更多成膜模組之一者中共形塗佈一第一薄膜於該心軸圖案上方; 於該一或更多蝕刻模組之一者中將該第一薄膜自該心軸圖案之上表面及鄰接該心軸圖案之下表面移除,以於該心軸圖案之側壁上留下該第一薄膜,從而形成第一側壁間隔物; 於該一或更多成膜模組之一者中共形塗佈一第二薄膜於該些第一側壁間隔物及該心軸圖案上方; 於該一或更多蝕刻模組之一者中將該第二薄膜自該些第一側壁間隔物及該心軸圖案之上表面及鄰接該些第一側壁間隔物之下表面移除,以於該些第一側壁間隔物之側壁留下該第二薄膜,從而形成第二側壁間隔物;以及 於該一或更多蝕刻模組之一者中將該些第一側壁間隔物自該工件移除,以留下該些第二側壁間隔物及該心軸圖案,以形成一特徵圖案,其具有倍數於該已移除心軸圖案特徵之數量。
  12. 如申請專利範圍第11項所述之該方法,其中修復該側壁間隔物圖案之該步驟包含: 當該第一薄膜之共形性或均勻性未符合該第一薄膜之一目標共形性或目標均勻性時,透過移除該第一薄膜及重新塗佈該第一薄膜、共形塗佈一額外薄膜、蝕刻該第一薄膜、或其兩者或更多之組合,以修復該共形塗佈之第一薄膜; 當該第二薄膜之共形性或均勻性未符合該第二薄膜之一目標共形性或目標均勻性時,透過移除該第二薄膜及重新塗佈該第二薄膜、共形塗佈一額外薄膜、蝕刻該第二薄膜、或其兩者或更多之組合,以修復該共形塗佈之第二薄膜; 當該些第一側壁間隔物之厚度、寬度或輪廓未符合該些第一側壁間隔物之一目標厚度、寬度或輪廓時,透過選擇性沉積額外材料至該些第一側壁間隔物上、對該些第一側壁間隔物進行形狀修整、將摻雜物注入該些第一側壁間隔物中、或其兩者或更多之組合,以修復該些第一側壁間隔物;或 當該些第二側壁間隔物之厚度、寬度或輪廓未符合該些第二側壁間隔物之一目標厚度、寬度或輪廓時,透過選擇性沉積額外材料至該些第二側壁間隔物上、對該些第二側壁間隔物進行形狀修整、將摻雜物注入該些第二側壁間隔物中、或其兩者或更多之組合,以修復該些第二側壁間隔物。
  13. 如申請專利範圍第11項所述之該方法,其中該一或更多成膜模組包含用以共形塗佈該第一薄膜之至少一第一成膜模組以及用以共形塗佈該第二薄膜之一第二成膜模組,其中該一或更多蝕刻模組包含用以移除該第一薄膜之至少一第一蝕刻模組、用以移除該第二薄膜之一第二蝕刻模組以及用以移除該些第一側壁間隔物之一第三蝕刻模組。
  14. 如申請專利範圍第11項所述之該方法,其中移除該些第一及第二薄膜之該步驟包括在鈍化該些第一及第二薄膜與蝕刻該些第一及第二薄膜之間交替。
  15. 如申請專利範圍第11項所述之該方法,其中移除該些第一側壁間隔物之該步驟包括在鈍化該些第一側壁間隔物與蝕刻該些第一側壁間隔物之間交替,直到該些第一側壁間隔物自該工件移除。
  16. 如申請專利範圍第1項所述之該方法,其中該受控環境包含真空環境、惰性氣體大氣、或其組合。
  17. 如申請專利範圍第16項所述之該方法,其中該一或更多成膜模組包含真空環境,而該一或更多轉移模組係於未破壞真空下使該工件轉移進出該一或更多成膜模組。
  18. 如申請專利範圍第16項所述之該方法,其中該一或更多蝕刻模組包含於真空環境操作之至少一乾式蝕刻模組,而該一或更多轉移模組係於未破壞真空下使該工件轉移進出該至少一乾式蝕刻模組。
  19. 如申請專利範圍第1項所述之該方法,其中該一或更多轉移模組更包含一工件測量區,其位於該一或更多轉移模組之至少一者的專用區域內,其中獲得測量數據之該步驟係在該工件於該複數處理模組之間轉移之至少一轉移期間,透過將該工件傳送進該工件測量區中來進行。
  20. 如申請專利範圍第1項所述之該方法,其中該共同製造站台包含一或更多度量模組,其中獲得測量數據之該步驟係在未離開該受控環境下,透過在該整合型處理步驟序列之一或更多該處理步驟之間將該工件轉移至該度量模組中來進行。
TW108109361A 2018-03-20 2019-03-19 用於整合型頭尾相接式自對準多重圖案化製程之操作方法 TWI835781B (zh)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
US201862784151P 2018-12-21 2018-12-21
US62/784,151 2018-12-21
US201962787608P 2019-01-02 2019-01-02
US201962787607P 2019-01-02 2019-01-02
US62/787,607 2019-01-02
US62/787,608 2019-01-02
US201962788195P 2019-01-04 2019-01-04
US62/788,195 2019-01-04

Publications (2)

Publication Number Publication Date
TW201946145A true TW201946145A (zh) 2019-12-01
TWI835781B TWI835781B (zh) 2024-03-21

Family

ID=

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730821B (zh) * 2020-06-22 2021-06-11 力晶積成電子製造股份有限公司 多重圖案化方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730821B (zh) * 2020-06-22 2021-06-11 力晶積成電子製造股份有限公司 多重圖案化方法

Also Published As

Publication number Publication date
CN112189255A (zh) 2021-01-05
JP2023134804A (ja) 2023-09-27
US10727057B2 (en) 2020-07-28
WO2019182961A1 (en) 2019-09-26
TW201946103A (zh) 2019-12-01
JP7395094B2 (ja) 2023-12-11
JP2021518675A (ja) 2021-08-02
KR20240015746A (ko) 2024-02-05
US20190295906A1 (en) 2019-09-26
US20190295846A1 (en) 2019-09-26
KR20200124304A (ko) 2020-11-02
US11398379B2 (en) 2022-07-26

Similar Documents

Publication Publication Date Title
TW201946103A (zh) 用於整合型頭尾相接式自對準多重圖案化製程之操作站台和方法
US11594451B2 (en) Platform and method of operating for integrated end-to-end fully self-aligned interconnect process
US11302588B2 (en) Platform and method of operating for integrated end-to-end area-selective deposition process
US10964608B2 (en) Platform and method of operating for integrated end-to-end gate contact process
US20120045721A1 (en) Method for forming a self-aligned double pattern
WO2008121955A2 (en) In-line lithography and etch system
TWI835781B (zh) 用於整合型頭尾相接式自對準多重圖案化製程之操作方法
CN112189255B (zh) 自对准多重图案化的方法和半导体加工方法
US20120045722A1 (en) Technique to form a self-aligned double pattern