CN111999989B - Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method - Google Patents

Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method Download PDF

Info

Publication number
CN111999989B
CN111999989B CN202010904043.8A CN202010904043A CN111999989B CN 111999989 B CN111999989 B CN 111999989B CN 202010904043 A CN202010904043 A CN 202010904043A CN 111999989 B CN111999989 B CN 111999989B
Authority
CN
China
Prior art keywords
laser
extreme ultraviolet
ultraviolet light
liquid drop
optical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010904043.8A
Other languages
Chinese (zh)
Other versions
CN111999989A (en
Inventor
王新兵
左都罗
马修泉
陆培祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Guangdong Intelligent Robotics Institute
Original Assignee
Guangdong Intelligent Robotics Institute
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Guangdong Intelligent Robotics Institute filed Critical Guangdong Intelligent Robotics Institute
Priority to CN202010904043.8A priority Critical patent/CN111999989B/en
Publication of CN111999989A publication Critical patent/CN111999989A/en
Application granted granted Critical
Publication of CN111999989B publication Critical patent/CN111999989B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Abstract

The application relates to a laser plasma extreme ultraviolet light source and an extreme ultraviolet light generating method. The device comprises a laser plasma extreme ultraviolet light source, a vacuum target chamber, an optical lens module, a liquid drop target generator and a collecting mirror for collecting the extreme ultraviolet light; an optical focus formed by laser through the optical lens module is positioned in the vacuum target chamber; a droplet target generator for providing droplets to the vacuum target chamber; the liquid drop passes through the optical focus on the moving line of the vacuum target chamber, so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focus; the collecting direction of the collecting mirror is perpendicular to the incident direction of the laser and is not parallel to the moving direction of the liquid drop. The focus of the optical lens module is in a vacuum environment, so that damage to other equipment is avoided. Meanwhile, the collecting direction of the collector is perpendicular to the incidence direction of laser, holes are not required to be formed in the middle of the collecting mirror, so that more light can be collected, the collecting efficiency is improved, and the conversion efficiency of the light source is further improved.

Description

Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method
Technical Field
The application relates to the technical field of lasers, in particular to a laser plasma extreme ultraviolet light source and an extreme ultraviolet light generating method.
Background
Photolithography, the most critical technology in the semiconductor industry, has evolved to bring about a rapid increase in the performance of semiconductor devices, providing a powerful technical support for the evolution of the entire industry over half a century. However, as the integration of semiconductor chips increases, further miniaturization of very large scale integrated circuits has been limited by the photolithographic resolution of photolithography. Currently, euv lithography using euv radiation with a wavelength of 13.5nm as an exposure light source is considered to be an important means for breaking through the 7nm node semiconductor industry technology.
In the implementation process, the inventor finds that at least the following problems exist in the conventional technology: the conventional apparatus has a problem in that light source conversion efficiency is low.
Disclosure of Invention
In view of the foregoing, it is desirable to provide a laser plasma extreme ultraviolet light source and an extreme ultraviolet light generating method that have high light source conversion efficiency.
In order to achieve the above object, in one aspect, an embodiment of the present invention provides a laser plasma euv light source with high light source conversion efficiency, including:
a vacuum target chamber;
an optical lens module; an optical focus formed by laser through the optical lens module is positioned in the vacuum target chamber;
a droplet target generator; a droplet target generator for providing droplets to the vacuum target chamber; the liquid drop passes through the optical focus on the moving line of the vacuum target chamber, so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focus;
a collecting mirror for collecting the extreme ultraviolet light; the collecting direction of the collecting mirror is perpendicular to the incident direction of the laser and is not parallel to the moving direction of the liquid drop.
In one embodiment, the optical lens module includes a predetermined number of lens groups; each lens group comprises a first lens and a second lens;
the first lens and the second lens form a resonant cavity; the focal point of the first lens falls within the range of the resonant cavity.
In one embodiment, the optical lens module includes a predetermined number of optical lenses;
the position of the focal point of each optical lens coincides with the position of the optical focal point.
In one embodiment, the system further comprises a pump source;
the pump source is used for generating laser.
In one embodiment, the gain medium is further included;
the gain medium is arranged on one side of the optical lens module close to the optical focus.
In one embodiment, the device further comprises a laser detector and a processor;
the laser detector is used for detecting the power of laser output and transmitting the power to the processor;
the processor adjusts the pumping intensity of the pumping source according to the power of the laser output.
In one aspect, an embodiment of the present invention further provides a method for generating extreme ultraviolet light, which is applied to the laser plasma extreme ultraviolet light source according to any one of the above steps, including:
detecting whether an event of laser passing through the optical lens module occurs or not;
if the detection result is yes, transmitting a driving instruction to the droplet target generator; the driving instruction is used for indicating the liquid drop target generator to be started so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focal point.
In one embodiment, the method further comprises the steps of:
acquiring the power of laser output;
and adjusting the pumping intensity of the pumping source according to the power of the laser output.
In one aspect, an embodiment of the present invention provides an apparatus for generating extreme ultraviolet light, including:
the detection module is used for detecting whether an event of laser passing through the optical lens module occurs or not;
the starting module is used for transmitting a driving instruction to the droplet target generator if the detection result is yes; the driving instruction is used for indicating the liquid drop target generator to be started so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focal point.
In another aspect, embodiments of the present invention provide a computer-readable storage medium having stored thereon a computer program which, when executed by a processor, performs the steps of any of the methods described above.
One of the above technical solutions has the following advantages and beneficial effects:
the laser plasma extreme ultraviolet light source comprises: the device comprises a vacuum target chamber, an optical lens module, a liquid drop target generator and a collecting mirror for collecting extreme ultraviolet light; an optical focus formed by laser through the optical lens module is positioned in the vacuum target chamber; a droplet target generator for providing droplets to the vacuum target chamber; the liquid drop passes through the optical focus on the moving line of the vacuum target chamber, so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focus; the collecting direction of the collecting mirror is perpendicular to the incident direction of the laser and is not parallel to the moving direction of the liquid drop. . Meanwhile, in the conventional technology, the collecting direction is parallel to the incident direction of the laser, and a small hole is formed in the middle of the collector so that the laser can pass through. And the collection direction of the collector of this application is perpendicular with the laser incident direction, does not need to set up the hole in the centre of collecting mirror to can collect more light, improve collection efficiency, further improved the conversion efficiency of light source.
Drawings
The foregoing and other objects, features and advantages of the present application will be apparent from the more particular description of the preferred embodiments of the present application as illustrated in the accompanying drawings. Like reference numerals refer to like parts throughout the drawings, and the drawings are not intentionally drawn to scale on actual size or the like, emphasis instead being placed upon illustrating the subject matter of the present application.
FIG. 1 is a first schematic block diagram of a laser plasma extreme ultraviolet light source in one embodiment;
FIG. 2 is a second schematic block diagram of a laser plasma extreme ultraviolet light source in one embodiment;
FIG. 3 is a third schematic block diagram of a laser plasma extreme ultraviolet light source in one embodiment;
FIG. 4 is a fourth schematic block diagram of a laser plasma extreme ultraviolet light source in one embodiment;
FIG. 5 is a first schematic flow chart of a method for generating extreme ultraviolet light in one embodiment;
FIG. 6 is a second schematic flow chart of a method of generating extreme ultraviolet light in one embodiment;
FIG. 7 is a schematic diagram of a conventional light source in one embodiment;
FIG. 8 is a front view of a Wu Shiyi block diagram of a laser plasma extreme ultraviolet light source in one embodiment;
FIG. 9 is a front view of a sixth schematic block diagram of a laser plasma extreme ultraviolet light source in one embodiment;
FIG. 10 is a top view of a sixth schematic block diagram of a laser plasma extreme ultraviolet light source in one embodiment;
FIG. 11 is a sixth schematic block diagram of a laser plasma extreme ultraviolet light source in one embodiment;
fig. 12 is a block diagram of an apparatus for generating extreme ultraviolet light in one embodiment.
Detailed Description
In order to facilitate an understanding of the present application, a more complete description of the present application will now be provided with reference to the relevant figures. Examples of the present application are given in the accompanying drawings. This application may, however, be embodied in many different forms and is not limited to the embodiments described herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete.
Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this application belongs. The terminology used herein in the description of the application is for the purpose of describing particular embodiments only and is not intended to be limiting of the application.
It will be understood that the terms "first," "second," and the like, as used herein, may be used to describe various elements, but these elements are not limited by these terms. These terms are only used to distinguish one element from another element. For example, a first resistance may be referred to as a second resistance, and similarly, a second resistance may be referred to as a first resistance, without departing from the scope of the present application. Both the first resistor and the second resistor are resistors, but they are not the same resistor.
It is to be understood that in the following embodiments, "connected" is understood to mean "electrically connected", "communicatively connected", etc., if the connected circuits, modules, units, etc., have electrical or data transfer between them.
As used herein, the singular forms "a", "an" and "the" are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms "comprises" and/or "comprising," and/or the like, specify the presence of stated features, integers, steps, operations, elements, components, or groups thereof, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, or groups thereof.
Currently, a high power extreme ultraviolet light source having a wavelength of 13.5nm is a necessary condition for economical operation of a photolithography machine in the manufacture of semiconductor integrated circuits, and the extreme ultraviolet light source is mainly classified into a discharge plasma light source (DPP) and a laser plasma light source (LPP). The basic principle of the DPP light source is that a high-voltage pulse is applied between two electrodes to ionize the gas between the electrodes to form plasma, a pulse current flowing through a plasma region forms an annular magnetic field, the plasma is compressed (i.e. pinch effect) to form high Wen Gaomi plasma, and extreme ultraviolet radiation is generated. The DPP light source directly converts electric energy into plasma energy, has higher energy conversion efficiency, and has high output power and low manufacturing cost. Over the years of research, DPP light sources have evolved into laser-assisted discharge plasma light sources (LDP). The plasma of LDP technology is generated by discharging between two disc electrodes which are partially immersed in a liquid tin bath, the surface of the electrode is wetted to form a thin tin layer, the tin on the electrode is evaporated under the action of pulse laser, so that a tin vapor cloud is formed between the two disc electrodes, and the plasma is formed by pulse discharge to generate extreme ultraviolet radiation. The LDP technology can realize high-repetition-rate operation, and effectively reduces the heat load problem in DPP.
The LPP light source irradiates a metal target, a liquid target or a gas target after focusing by using high-energy pulse laser, generates high Wen Gaomi plasma at a focus, and continuously heats the laser to enable the plasma to be highly ionized so as to generate EUV radiation. The LPP technology is gradually developed into that tiny tin liquid drops with limited quality are evaporated in vacuum through a pre-pulse laser to generate mist Sn atom steam, and then high-temperature tin plasma is generated under the action of high-power pulse CO2 laser to obtain extreme ultraviolet radiation, so that the generation of scraps is reduced while the higher conversion efficiency and the requirement of light source power are ensured. Extreme ultraviolet light sources have been developed for many years, and the technology of the plasma extreme ultraviolet light sources generated by laser-assisted discharge plasmas and CO2 lasers has been greatly improved. The LDP light source is mainly used for detecting masks and the like in extreme ultraviolet lithography, and the LPP light source is mainly used for lithography. However, the extreme ultraviolet light source generated by the LPP technology and the LDP technology cannot meet the requirement of mass production at present.
The laser plasma extreme ultraviolet light source provided by the application can effectively solve the problems.
In one embodiment, as shown in fig. 1, there is provided a laser plasma euv light source with high light source conversion efficiency, comprising:
a vacuum target chamber 10;
an optical lens module 20; an optical focal point formed by the laser through the optical lens module 20 is positioned inside the vacuum target chamber 10;
a droplet target generator 30; a droplet target generator 30 for supplying droplets to the vacuum target chamber 10; the movement line of the liquid drop in the vacuum target chamber 10 passes through the optical focus, so that the liquid drop generated by the liquid drop target generator 30 and the laser generate extreme ultraviolet light at the optical focus;
a collecting mirror 40 (not shown in fig. 1) for collecting the extreme ultraviolet light; the collecting direction of the collecting mirror 40 is perpendicular to the laser incident direction and is not parallel to the moving direction of the droplet.
Wherein the vacuum target chamber can be any device capable of providing a vacuum environment in the art; the optical lens module can be an optical system formed by combining any optical lenses in the field; a droplet target generator is used to provide droplets. The collecting mirror is used for collecting the generated extreme ultraviolet light and sending the extreme ultraviolet light to a target direction.
Specifically, the above-mentioned laser light is a continuous laser light, and the optical focal point formed in the space by the laser light passing through the optical lens module is located inside the vacuum target chamber. By providing a vacuum environment, damage to the device by the converged laser is avoided. With a laser gain medium, breakdown of the laser gain medium is avoided.
The liquid drop target generator is used for providing liquid drops for the vacuum target chamber, the moving direction of the liquid drops is consistent with the emergent direction of the liquid drops in the vacuum environment, and the speed of the liquid drops and the quantity of the liquid drops are conveniently controlled under the influence of no gravity. In one specific example, the droplet target generator can be disposed inside a vacuum target chamber. In another specific example, the droplet target generator may be embedded in the vacuum target chamber, so long as it can output droplets to the inside of the vacuum target chamber, and is not excessively limited herein. Further, the path of the droplet travel needs to pass through the optical focus. The laser and the droplet may generate extreme ultraviolet light at the optical focus. It should be noted that, the generation of extreme ultraviolet light at the optical focus needs to satisfy a certain power density. In one specific example, the vacuum target chamber is fenestrated so that the laser passes through the optical lens module, and the fenestration in sequence, and forms an optical focus in the spatial target chamber.
After passing through the optical lens module, the laser is converged at an optical focus. The droplets then react with the laser light converging at the optical focus, which, due to the laser light intensity, breaks down the droplets and forms a plasma, thereby generating extreme ultraviolet light. The radiated extreme ultraviolet light is collected by a collector. The collecting direction of the collector is perpendicular to the incident direction of the laser and is not parallel to the moving direction of the liquid drops so as to prevent the liquid drops from being blocked. In one specific example, the collection direction of the collector is perpendicular to the laser incidence direction and perpendicular to the movement direction of the droplet.
In the prior art, the collecting direction is parallel to the incident direction of the laser, and a small hole is formed in the middle of the collector so that the laser can pass through. And the collection direction of the collector of this application is perpendicular with the laser incident direction, does not need to set up the hole in the centre of collecting mirror to can collect more light, improve collection efficiency, further improved the conversion efficiency of light source.
In one embodiment, the optical lens module includes a predetermined number of lens groups; each lens group comprises a first lens and a second lens; the first lens and the second lens form a resonant cavity; the focal point of the first lens falls within the range of the resonant cavity.
Wherein the position of the focal point of the first lens coincides with the position of the optical focal point. The first lens and the second lens are formed with a resonant cavity. The focal point of the first lens falls within the range of the resonant cavity.
The placement positions of the first lens and the second lens may be any as long as the focal point of the first lens can fall into the range of the resonant cavity. In one embodiment, the first lens is a lens through which light is incident for the first time. In some embodiments, a first gain medium and a second gain medium may also be included; the first gain medium is arranged on one side of the first lens, which is far away from the focus, and the second gain medium is arranged on one side of the second lens, which is far away from the focus. The first gain medium and the second gain medium are used for amplifying optical power so as to generate extreme ultraviolet light faster. In the traditional equipment, as the main pulse laser adopts the main vibration amplification technology, including multi-stage amplification such as pre-amplification and main amplification and interstage isolation measures, a plurality of optical elements are needed to be used, on one hand, the loss of laser power is caused, and on the other hand, the excessive optical elements can cause the poor directivity of the main pulse laser, so that the main pulse CO2 laser and liquid drops are difficult to realize in space synchronization. In this application, the focal point is formed by multiple lens groups to increase the power density of the light source. It should be noted that the lasers entering different lens groups may have different wavelengths and different powers, which are not limited herein.
In one embodiment, the optical lens module includes a predetermined number of optical lenses; the position of the focal point of each optical lens coincides with the position of the optical focal point.
Specifically, the optical lens may be one, and the focal point formed by the lens may be located in the vacuum target chamber.
In one embodiment, as shown in FIG. 2, a pump source is also included; the pump source is used for generating laser.
Specifically, the laser working substance is excited by a pump source, and the active particles are pumped from the ground state to a high energy level to realize the population inversion, so that laser is generated.
In one embodiment, as shown in fig. 3, a gain medium is further included;
the gain medium is arranged on one side of the optical lens module close to the optical focus.
Specifically, the gain substance is disposed on a side of the optical lens module near the optical focal point, for enhancing optical power.
In one embodiment, as shown in fig. 4, there is provided a source laser plasma extreme ultraviolet light source comprising:
a vacuum target chamber 10;
an optical lens module 20; an optical focal point formed by the laser through the optical lens module 20 is positioned inside the vacuum target chamber 10;
a droplet target generator 30; a droplet target generator 30 for supplying droplets to the vacuum target chamber 10; the movement line of the liquid drop in the vacuum target chamber 10 passes through the optical focus, so that the liquid drop generated by the liquid drop target generator 30 and the laser generate extreme ultraviolet light at the optical focus;
a collecting mirror 40 for collecting the extreme ultraviolet light; the collecting direction of the collecting mirror 40 is perpendicular to the laser incident direction and is not parallel to the moving direction of the droplet.
The device also comprises a laser detector and a processor;
the laser detector is used for detecting the power of laser output and transmitting the power to the processor;
the processor adjusts the pumping intensity of the pumping source according to the power of the laser output.
Specifically, the laser detector detects a power signal output by laser, that is, power output by the laser, and adjusts the pumping intensity of the pumping source according to the power output by the laser, so that the power of the extreme ultraviolet light source can be controlled. It should be noted that the laser detector may also be used to detect the frequency of droplet generation.
In one embodiment, as shown in fig. 5, there is provided a method for generating extreme ultraviolet light, applied to the laser plasma extreme ultraviolet light source as described above, comprising the steps of:
s510, detecting whether an event of laser passing through the optical lens module occurs or not;
specifically, the detection of the event of the laser passing through the optical lens module may be performed by any means known in the art.
S520, if the detection result is yes, transmitting a driving instruction to a droplet target generator; the driving instruction is used for indicating the liquid drop target generator to be started so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focal point.
Specifically, when an event occurs that laser passes through the optical lens module, a driving instruction is transmitted to the droplet target generator, the droplet target generator is started and generates droplets after receiving the driving instruction, and the generated droplets and the laser act at an optical focus.
In one embodiment, as shown in fig. 6, there is provided a method for generating extreme ultraviolet light, which is applied to the laser plasma extreme ultraviolet light source according to any one of the above, and includes the steps of:
s610, detecting whether an event of laser passing through the optical lens module occurs;
s620, if the detection result is yes, transmitting a driving instruction to the droplet target generator; the driving instruction is used for indicating the liquid drop target generator to be started so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focal point.
The method also comprises the steps of:
s630, obtaining the power of laser output;
specifically, any technical means in the art may be used to obtain the power of the laser output, for example, a laser detector may be used to obtain the power of the laser output.
And S640, adjusting the pumping intensity of the pumping source according to the power output by the laser.
Specifically, the power of the extreme ultraviolet light source can be controlled by adjusting the pumping intensity.
It should be understood that, although the steps in the flowcharts of fig. 5-6 are shown in order as indicated by the arrows, these steps are not necessarily performed in order as indicated by the arrows. The steps are not strictly limited to the order of execution unless explicitly recited herein, and the steps may be executed in other orders. Moreover, at least some of the steps in fig. 5-6 may include multiple sub-steps or phases that are not necessarily performed at the same time, but may be performed at different times, nor does the order in which the sub-steps or phases are performed necessarily occur sequentially, but may be performed alternately or alternately with at least a portion of the sub-steps or phases of other steps or other steps.
In order to further illustrate the laser plasma euv light source provided herein, a specific example is described in detail below.
Currently, the schematic diagram of the prior art is shown in fig. 7, and this light source mainly comprises: a target device 1, a pulse laser 2, a focusing mirror 3 and a collecting mirror 4 with holes. The pulsed laser 2 acts with the droplet target at one focal point of the collection mirror after focusing through the lens 3. The target material is heated, gasified and ionized. The excited ionized highly ionized ions 5 radiate extreme ultraviolet light. This radiation in the euv band is collected by the multilayer film collecting mirror 4 and output from the IF point (Intermediate focus ) (the other focus of the collecting mirror 14) 6. It uses a droplet tin target with a diameter of about 20m, which must be highly synchronized with the pre-pulse and the main pulse in time-space in order to improve the conversion efficiency, and if the droplet does not reach a predetermined position at an expected time, the laser may partially or completely lose the target, resulting in a decrease in the conversion efficiency of the light source and affecting the stability of the exposure dose. Meanwhile, as the main pulse laser adopts the main vibration amplification technology, including multi-stage amplification such as pre-amplification and main amplification and interstage isolation measures, a plurality of optical elements are needed, on one hand, the loss of laser power is caused, and on the other hand, the excessive optical elements can cause the poor directivity of the main pulse laser, so that the main pulse CO2 laser and liquid drops are difficult to realize in space synchronization. The main oscillation amplification laser technology has reached a limit, and further power improvement is limited. The laser is coaxial with the collection mirror, so the collection mirror must be perforated, reducing collection efficiency.
The laser plasma extreme ultraviolet light source adopts a high-power continuous laser, and a laser resonant cavity with a focus is formed in a design cavity, so that the power density at the focus can meet the condition of forming extreme ultraviolet light. Meanwhile, the inside of the resonant cavity is in a vacuum environment, and particularly the vacuum environment is provided through the vacuum target chamber, so that breakdown of a laser gain medium is avoided. The droplets produced by the droplet reactor can flow through a focal point in the vacuum target chamber and interact with the high-power continuous laser to form extreme ultraviolet light output. In addition, the number of the high-power continuous lasers can be multiple, the focuses of the lasers in the vacuum target chamber are the same, and the lasers can jointly act on a certain liquid drop. At this time, the power of the extreme ultraviolet light can be raised by breaking through the traditional upper limit, and only the number of lasers is required to be correspondingly increased.
The following is further described with reference to the accompanying drawings:
an embodiment of the present invention will be described with reference to fig. 8. In fig. 8, 7 is a droplet target generator that supplies droplets into a vacuum target chamber 8 having a window therein through which laser light may pass to form a focal point in the vacuum target chamber. 9 is a laser gain medium, 10 and 12 form a laser resonant cavity, a focal point 18 is formed in a vacuum target chamber in the laser resonant cavity, 14 is a laser detector, signals of the laser detector are transmitted to 16 after being tidied, 16 is a laser pumping source, and the pumping source 16 pumps to the gain medium 9 through 17. The gain medium 9 in this application may be gas, solid, optical fiber, etc., and the laser pump source 16 may be electric pump or optical pump. The laser formed by the resonator mirrors 10, 12 outputs a continuous laser light under the influence of the pump source 16, forming a focal point in the cavity. The droplets generated by the droplet target generator pass through the focal point 18, where they break down into a plasma due to the high laser intensity, thereby generating euv radiation. When the extreme ultraviolet light source works, the laser detector 14 is a signal for detecting the output power of the laser, and the signal is transmitted to the laser pump source through 15 to control the intensity of the pump source 16, so that the power of the extreme ultraviolet light source can be controlled.
Fig. 9 shows still another structure of the laser plasma euv light source of the present invention. Unlike the corresponding structure of fig. 8, an optical system constituted by lenses 19 and 20 is placed in the resonant cavity in the present embodiment, by which a focal point is formed in the resonant cavity. A lens 19 may also be used, the focus being formed by the design of the resonant cavity. Also in this embodiment, the laser gain media 21 and 22 may be placed on both sides of the vacuum target chamber. 19. 20, 21, 22 form a resonant cavity system, forming a laser oscillation, the output laser being detected by the detector 14. When the extreme ultraviolet light source works, the droplet target generator 7 emits droplets to the vacuum target chamber, and when the droplets reach the focus 8, the droplets are broken down by laser to form plasma, so that the extreme ultraviolet light is radiated.
Fig. 10 is a top view of fig. 9, and fig. 10 shows a collection mode of the collecting mirror and the euv light. The collector mirror 23 collects euv radiation at 18 to a focal point 20. The laser plasma euv light source of the present embodiment is different from fig. 9. In fig. 9, the laser direction is identical to the collecting direction, so that a hole is formed in the middle of the collecting mirror to allow laser to pass through, while in this embodiment, the droplet direction, the laser direction and the collecting direction are perpendicular, and the collecting efficiency can be improved without requiring a hole in the middle of the collecting mirror.
FIG. 11 is a schematic illustration of a modular laser plasma EUV light source embodiment in which multiple lasers may be arranged in a two-dimensional plane and in a three-dimensional space, with a common focal point. The embodiment is an extreme ultraviolet light source scheme of two lasers. In fig. 11, 24, 25, 26, 27 and 28 form a first laser, 29, 30, 31, 32 and 33 form a second laser, and the output wavelengths and powers of the first laser and the second laser may be different, but have a common focal point 18, so that a dual-wavelength laser plasma light source can be formed, and the conversion efficiency of the extreme ultraviolet light source is optimized. The embodiment is a 2-station laser plasma light source scheme, but more than 2 lasers can be configured to further improve the light source power.
In one embodiment, as shown in fig. 12, there is provided an extreme ultraviolet light generating apparatus including:
the detection module is used for detecting whether an event of laser passing through the optical lens module occurs or not;
the starting module is used for transmitting a driving instruction to the droplet target generator if the detection result is yes; the driving instruction is used for indicating the liquid drop target generator to be started so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focal point.
In one embodiment, further comprising:
the acquisition module is used for acquiring the power of laser output;
and the adjusting module is used for adjusting the pumping intensity of the pumping source according to the power of the laser output.
For specific limitations on the device for generating extreme ultraviolet light, reference may be made to the above limitations on the method for generating extreme ultraviolet light, and no further description is given here. The above-described respective modules in the extreme ultraviolet light generating apparatus may be implemented in whole or in part by software, hardware, and a combination thereof. The above modules may be embedded in hardware or may be independent of a processor in the computer device, or may be stored in software in a memory in the computer device, so that the processor may call and execute operations corresponding to the above modules.
In one embodiment, a computer readable storage medium is provided having a computer program stored thereon, which when executed by a processor, performs the steps of:
detecting whether an event of laser passing through the optical lens module occurs or not;
if the detection result is yes, transmitting a driving instruction to the droplet target generator; the driving instruction is used for indicating the liquid drop target generator to be started so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focal point.
In one embodiment, the computer program when executed by the processor further performs the steps of:
acquiring the power of laser output;
and adjusting the pumping intensity of the pumping source according to the power of the laser output.
Those skilled in the art will appreciate that implementing all or part of the above described methods may be accomplished by way of a computer program stored on a non-transitory computer readable storage medium, which when executed, may comprise the steps of the embodiments of the methods described above. Any reference to memory, storage, database, or other medium used in the various embodiments provided herein may include non-volatile and/or volatile memory. The nonvolatile memory can include Read Only Memory (ROM), programmable ROM (PROM), electrically Programmable ROM (EPROM), electrically Erasable Programmable ROM (EEPROM), or flash memory. Volatile memory can include Random Access Memory (RAM) or external cache memory. By way of illustration and not limitation, RAM is available in a variety of forms such as Static RAM (SRAM), dynamic RAM (DRAM), synchronous DRAM (SDRAM), double Data Rate SDRAM (DDRSDRAM), enhanced SDRAM (ESDRAM), synchronous Link DRAM (SLDRAM), memory bus dynamic random access memory (RDRAM), and interface dynamic random access memory (DRDRAM).
In the description of the present specification, reference to the terms "some embodiments," "other embodiments," "desired embodiments," and the like, means that a particular feature, structure, material, or characteristic described in connection with the embodiment or example is included in at least one embodiment or example of the invention. In this specification, schematic descriptions of the above terms do not necessarily refer to the same embodiment or example.
The technical features of the above-described embodiments may be arbitrarily combined, and all possible combinations of the technical features in the above-described embodiments are not described for brevity of description, however, as long as there is no contradiction between the combinations of the technical features, they should be considered as the scope of the description.
The above examples illustrate only a few embodiments of the invention, which are described in detail and are not to be construed as limiting the scope of the invention. It should be noted that it will be apparent to those skilled in the art that several variations and modifications can be made without departing from the spirit of the invention, which are all within the scope of the invention. Accordingly, the scope of protection of the present invention is to be determined by the appended claims.

Claims (7)

1. A laser plasma euv light source comprising:
a vacuum target chamber;
an optical lens module; an optical focus formed by laser passing through the optical lens module is positioned in the vacuum target chamber; wherein the laser is continuous laser;
a droplet target generator; the droplet target generator is used for providing droplets to the vacuum target chamber; the liquid drop passes through the optical focus on the moving line of the vacuum target chamber, so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focus;
a collecting mirror for collecting the extreme ultraviolet light; the collecting direction of the collecting mirror is perpendicular to the incident direction of the laser and is not parallel to the moving direction of the liquid drops;
the processor is used for detecting whether an event of the laser passing through the optical lens module occurs or not; if the detection result is yes, transmitting a driving instruction to the droplet target generator; the driving instruction is used for indicating the liquid drop target generator to be started so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focus;
the laser plasma extreme ultraviolet light source further comprises a laser detector; the laser detector is used for detecting the power of the laser output and transmitting the power to the processor; the processor adjusts the pumping intensity of the pumping source according to the power output by the laser;
the optical lens module comprises a preset number of lens groups; each lens group comprises a first lens and a second lens;
the first lens and the second lens form a resonant cavity; the focal point of the first lens falls within the range of the resonant cavity.
2. The laser plasma euv light source of claim 1, wherein said optical lens module comprises a predetermined number of optical lenses;
the position of the focal point of each optical lens coincides with the position of the optical focal point.
3. The laser plasma euv light source of claim 1, further comprising a pump source;
the pump source is used for generating the laser.
4. A method for generating extreme ultraviolet light, characterized by being applied to the laser plasma extreme ultraviolet light source as claimed in any one of claims 1 to 3, comprising the steps of:
detecting whether an event of the laser passing through the optical lens module occurs or not;
if the detection result is yes, transmitting a driving instruction to the droplet target generator; the driving instruction is used for indicating the liquid drop target generator to be started so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focal point.
5. The method for generating extreme ultraviolet light according to claim 4, further comprising the step of:
acquiring the power of the laser output;
and adjusting the pumping intensity of the pumping source according to the power of the laser output.
6. An extreme ultraviolet light generating device, characterized by being applied to the laser plasma extreme ultraviolet light source as claimed in any one of claims 1 to 3, comprising:
the detection module is used for detecting whether an event of the laser passing through the optical lens module occurs or not;
the starting module is used for transmitting a driving instruction to the droplet target generator if the detection result is yes; the driving instruction is used for indicating the liquid drop target generator to be started so that the liquid drop generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focal point.
7. A computer readable storage medium, on which a computer program is stored, characterized in that the computer program, when being executed by a processor, implements the steps of the method of any of claims 4 to 5.
CN202010904043.8A 2020-09-01 2020-09-01 Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method Active CN111999989B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010904043.8A CN111999989B (en) 2020-09-01 2020-09-01 Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010904043.8A CN111999989B (en) 2020-09-01 2020-09-01 Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method

Publications (2)

Publication Number Publication Date
CN111999989A CN111999989A (en) 2020-11-27
CN111999989B true CN111999989B (en) 2023-07-14

Family

ID=73466210

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010904043.8A Active CN111999989B (en) 2020-09-01 2020-09-01 Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method

Country Status (1)

Country Link
CN (1) CN111999989B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113433804B (en) * 2021-07-26 2023-04-14 广东省智能机器人研究院 Extreme ultraviolet lithography method and system
CN113433805B (en) * 2021-07-26 2023-04-14 广东省智能机器人研究院 Extreme ultraviolet lithography method and system

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7916388B2 (en) * 2007-12-20 2011-03-29 Cymer, Inc. Drive laser for EUV light source
JP5301165B2 (en) * 2005-02-25 2013-09-25 サイマー インコーポレイテッド Laser generated plasma EUV light source
JP4642618B2 (en) * 2005-09-22 2011-03-02 株式会社小松製作所 Extreme ultraviolet light source device
DE102006027856B3 (en) * 2006-06-13 2007-11-22 Xtreme Technologies Gmbh Extreme ultraviolet radiation generating arrangement for semiconductor lithography, has electrodes immersed into containers, directed into vacuum chamber and re-guided into containers after electrical discharge between electrodes
CN101111119B (en) * 2006-07-20 2011-05-18 中国科学院长春光学精密机械与物理研究所 Micro-current target laser plasma soft X ray-extreme ultraviolet light source
JP5312959B2 (en) * 2009-01-09 2013-10-09 ギガフォトン株式会社 Extreme ultraviolet light source device
CN103105740B (en) * 2013-01-16 2015-03-18 华中科技大学 Solid-liquid combined target-based extreme ultraviolet source generator and light source system
KR102012902B1 (en) * 2013-02-26 2019-08-22 삼성전자주식회사 Light Source and apparatus for fabricating a semiconductor device using the same
JP6426602B2 (en) * 2013-05-21 2018-11-21 ギガフォトン株式会社 Extreme ultraviolet light generator and method of generating extreme ultraviolet light
WO2016013114A1 (en) * 2014-07-25 2016-01-28 ギガフォトン株式会社 Extreme ultraviolet light generation apparatus
TWI788998B (en) * 2015-08-12 2023-01-01 荷蘭商Asml荷蘭公司 Target expansion rate control in an extreme ultraviolet light source
WO2018203370A1 (en) * 2017-05-01 2018-11-08 ギガフォトン株式会社 Target supply device, extreme uv light generator, and target supply method
US11212903B2 (en) * 2018-08-31 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation

Also Published As

Publication number Publication date
CN111999989A (en) 2020-11-27

Similar Documents

Publication Publication Date Title
CN111999989B (en) Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method
JP4904809B2 (en) Extreme ultraviolet light source device
US8654438B2 (en) Master oscillator-power amplifier drive laser with pre-pulse for EUV light source
Butler et al. Demonstration of a collisionally excited optical-field-ionization XUV laser driven in a plasma waveguide
JP4710406B2 (en) Extreme ultraviolet light exposure device and extreme ultraviolet light source device
JP2009099390A (en) Extreme ultraviolet light source device and extreme ultraviolet light generating method
JP2008270149A (en) Extreme ultraviolet light source device and extreme ultraviolet light generating method
EP2170020B1 (en) Extreme ultraviolet light source device and method for generating extreme ultraviolet radiation
EP1972999B1 (en) Foil trap and extreme ultraviolet light source device using the foil trap
KR20090033787A (en) Method of generating extreme ultraviolet light and light source apparatus of the extreme ultraviolet light
JP4618013B2 (en) Extreme ultraviolet light source device
EP2203033A2 (en) Extreme ultraviolet light source device
Komori et al. EUV radiation characteristics of a CO2 laser produced Xe plasma
EP2211594B1 (en) Extreme ultraviolet light source device
Brandt et al. LPP EUV source development for HVM
JP2007305908A (en) Extreme ultraviolet light source apparatus
JP2010123714A (en) Extreme ultraviolet light source device
WO2004097520A2 (en) Fiber laser-based euv-lithography
Stamm et al. EUV source power and lifetime: the most critical issues for EUV lithography
CN113296368B (en) Extreme ultraviolet radiation control method and device, electronic equipment and extreme ultraviolet radiation system
Zhang et al. Xenon discharge-produced plasma radiation source for EUV lithography
Fomenkov et al. Performance and scaling of a dense plasma focus light source for EUV lithography
CN103149804B (en) Device and method for generating extreme ultraviolet source based on radial polarization laser driving
JP2006324039A (en) Pulse generator and extreme ultraviolet light source device
JPWO2006035748A1 (en) EUV generator

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant