CN111999989A - Laser plasma extreme ultraviolet light source and extreme ultraviolet light generation method - Google Patents

Laser plasma extreme ultraviolet light source and extreme ultraviolet light generation method Download PDF

Info

Publication number
CN111999989A
CN111999989A CN202010904043.8A CN202010904043A CN111999989A CN 111999989 A CN111999989 A CN 111999989A CN 202010904043 A CN202010904043 A CN 202010904043A CN 111999989 A CN111999989 A CN 111999989A
Authority
CN
China
Prior art keywords
laser
extreme ultraviolet
ultraviolet light
light source
optical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010904043.8A
Other languages
Chinese (zh)
Other versions
CN111999989B (en
Inventor
王新兵
左都罗
马修泉
陆培祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Guangdong Intelligent Robotics Institute
Original Assignee
Guangdong Intelligent Robotics Institute
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Guangdong Intelligent Robotics Institute filed Critical Guangdong Intelligent Robotics Institute
Priority to CN202010904043.8A priority Critical patent/CN111999989B/en
Publication of CN111999989A publication Critical patent/CN111999989A/en
Application granted granted Critical
Publication of CN111999989B publication Critical patent/CN111999989B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Abstract

The application relates to a laser plasma extreme ultraviolet light source and an extreme ultraviolet light generating method. The device comprises a laser plasma extreme ultraviolet light source, a vacuum target chamber, an optical lens module, a droplet target generator and a collecting mirror for collecting extreme ultraviolet light, wherein the laser plasma extreme ultraviolet light source comprises a laser plasma extreme ultraviolet light source, a vacuum target chamber, an optical lens module, a droplet target generator and a collecting mirror for collecting extreme ultraviolet light; the laser is positioned in the vacuum target chamber through an optical focus formed by the optical lens module; the droplet target generator is used for providing droplets to the vacuum target chamber; the liquid drops pass through an optical focus on a moving line of the vacuum target chamber, so that the liquid drops and the laser generated by the liquid drop target generator generate extreme ultraviolet light at the optical focus; the collecting direction of the collecting mirror is perpendicular to the incidence direction of the laser and is not parallel to the moving direction of the liquid drop. The focus of the optical lens module is in a vacuum environment, so that damage to other equipment is avoided. Simultaneously, the collection direction of the collector of this application is perpendicular with laser incident direction, and the hole need not be seted up in the centre of collecting mirror to can collect more light, improve collection efficiency, further improvement the conversion efficiency of light source.

Description

Laser plasma extreme ultraviolet light source and extreme ultraviolet light generation method
Technical Field
The application relates to the technical field of laser, in particular to a laser plasma extreme ultraviolet light source and an extreme ultraviolet light generation method.
Background
The development of the photolithography technology, which is the most critical technology in the semiconductor industry, brings about rapid improvement of the performance of semiconductor devices, and provides powerful technical support for the development of the whole industry in the evolution process of the semiconductor industry for more than half a century. However, as semiconductor chips become more highly integrated, further miniaturization of very large scale integrated circuits has been limited by the resolution of photolithography in photolithography. At present, the extreme ultraviolet lithography technology which adopts extreme ultraviolet radiation with the wavelength of 13.5nm as an exposure light source is considered to be an important means for breaking through the technology of the 7nm node semiconductor industry.
In the implementation process, the inventor finds that at least the following problems exist in the conventional technology: the conventional apparatus has a problem of low light source conversion efficiency.
Disclosure of Invention
In view of the above, it is necessary to provide a laser plasma euv light source with high light source conversion efficiency and an euv light generating method.
In order to achieve the above object, in one aspect, an embodiment of the present invention provides a laser plasma euv light source with high light source conversion efficiency, including:
a vacuum target chamber;
an optical lens module; the laser is positioned in the vacuum target chamber through an optical focus formed by the optical lens module;
a droplet target generator; the droplet target generator is used for providing droplets to the vacuum target chamber; the liquid drops pass through an optical focus on a moving line of the vacuum target chamber, so that the liquid drops and the laser generated by the liquid drop target generator generate extreme ultraviolet light at the optical focus;
a collecting mirror for collecting the extreme ultraviolet light; the collecting direction of the collecting mirror is perpendicular to the incidence direction of the laser and is not parallel to the moving direction of the liquid drop.
In one embodiment, the optical lens module comprises a preset number of lens groups; each lens group includes a first lens and a second lens;
the first lens and the second lens form a resonant cavity; the focal point of the first lens falls within the range of the resonant cavity.
In one embodiment, the optical lens module comprises a preset number of optical lenses;
the position of the focal point of each optical lens coincides with the position of the optical focal point.
In one embodiment, the device further comprises a pump source;
the pump source is used for generating laser.
In one embodiment, the gain amplifier further comprises a gain medium;
the gain medium is arranged on one side of the optical lens module close to the optical focus.
In one embodiment, the system further comprises a laser detector and a processor;
the laser detector is used for detecting the power of the laser output and transmitting the power to the processor;
the processor adjusts the pumping intensity of the pump source based on the power of the laser output.
On one hand, the embodiment of the invention also provides a method for generating extreme ultraviolet light, which is applied to the laser plasma extreme ultraviolet light source as described in any one of the above, and comprises the following steps:
detecting whether an event that laser passes through the optical lens module occurs or not;
if the detection result is yes, transmitting a driving command to the droplet target generator; the driving instruction is used for instructing the droplet target generator to be started, so that the droplets generated by the droplet target generator and the laser generate extreme ultraviolet light at the optical focus.
In one embodiment, the method further comprises the following steps:
acquiring the power of laser output;
and adjusting the pumping intensity of the pumping source according to the power of the laser output.
In one aspect, an embodiment of the present invention provides an extreme ultraviolet light generating apparatus, including:
the detection module is used for detecting whether an event that the laser passes through the optical lens module occurs or not;
the starting module is used for transmitting a driving instruction to the droplet target generator if the detection result is positive; the driving instruction is used for instructing the droplet target generator to be started, so that the droplets generated by the droplet target generator and the laser generate extreme ultraviolet light at the optical focus.
In another aspect, an embodiment of the present invention provides a computer-readable storage medium, on which a computer program is stored, where the computer program, when executed by a processor, implements the steps of any one of the above methods.
One of the above technical solutions has the following advantages and beneficial effects:
the laser plasma extreme ultraviolet light source comprises: the device comprises a vacuum target chamber, an optical lens module, a droplet target generator and a collecting mirror for collecting extreme ultraviolet light; the laser is positioned in the vacuum target chamber through an optical focus formed by the optical lens module; the droplet target generator is used for providing droplets to the vacuum target chamber; the liquid drops pass through an optical focus on a moving line of the vacuum target chamber, so that the liquid drops and the laser generated by the liquid drop target generator generate extreme ultraviolet light at the optical focus; the collecting direction of the collecting mirror is perpendicular to the incidence direction of the laser and is not parallel to the moving direction of the liquid drop. . Meanwhile, in the conventional technology, the collecting direction is parallel to the laser incidence direction, and a small hole needs to be formed in the middle of the collector so that laser can pass through the small hole. And the collection direction of the collector of this application is perpendicular with laser incident direction, and the hole need not be seted up to the centre of collecting mirror to can collect more light, improve collection efficiency, further improvement the conversion efficiency of light source.
Drawings
The foregoing and other objects, features and advantages of the application will be apparent from the following more particular description of preferred embodiments of the application, as illustrated in the accompanying drawings. Like reference numerals refer to like parts throughout the drawings, and the drawings are not intended to be drawn to scale in actual dimensions, emphasis instead being placed upon illustrating the subject matter of the present application.
FIG. 1 is a first schematic block diagram of a laser plasma EUV light source according to one embodiment;
FIG. 2 is a second schematic block diagram of an exemplary laser plasma EUV light source;
FIG. 3 is a third schematic block diagram of an exemplary laser plasma EUV light source;
FIG. 4 is a fourth schematic block diagram of an exemplary laser plasma EUV light source;
FIG. 5 is a first schematic flow chart diagram of a method for generating extreme ultraviolet light in one embodiment;
FIG. 6 is a second schematic flow chart diagram of a method of generating extreme ultraviolet light in one embodiment;
FIG. 7 is a schematic diagram of a conventional light source in one embodiment;
FIG. 8 is a front view of a first schematic block diagram of a laser plasma EUV light source according to one embodiment;
FIG. 9 is a front view of a sixth schematic block diagram of a laser plasma EUV light source according to one embodiment;
FIG. 10 is a top view of a sixth schematic block diagram of a laser plasma EUV light source in one embodiment;
FIG. 11 is a sixth schematic block diagram of an exemplary laser plasma EUV light source;
fig. 12 is a block diagram of an apparatus for generating extreme ultraviolet light according to an embodiment.
Detailed Description
To facilitate an understanding of the present application, the present application will now be described more fully with reference to the accompanying drawings. Embodiments of the present application are set forth in the accompanying drawings. This application may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete.
Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this application belongs. The terminology used herein in the description of the present application is for the purpose of describing particular embodiments only and is not intended to be limiting of the application.
It will be understood that, as used herein, the terms "first," "second," and the like may be used herein to describe various elements, but these elements are not limited by these terms. These terms are only used to distinguish one element from another. For example, a first resistance may be referred to as a second resistance, and similarly, a second resistance may be referred to as a first resistance, without departing from the scope of the present application. The first resistance and the second resistance are both resistances, but they are not the same resistance.
It is to be understood that "connection" in the following embodiments is to be understood as "electrical connection", "communication connection", and the like if the connected circuits, modules, units, and the like have communication of electrical signals or data with each other.
As used herein, the singular forms "a", "an" and "the" may include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms "comprises/comprising," "includes" or "including," etc., specify the presence of stated features, integers, steps, operations, components, parts, or combinations thereof, but do not preclude the presence or addition of one or more other features, integers, steps, operations, components, parts, or combinations thereof.
Currently, a high power euv light source with a wavelength of 13.5nm is a necessary condition for economic operation of a lithography machine in semiconductor integrated circuit manufacturing, and the euv light source is mainly classified into a discharge plasma light source (DPP) and a laser plasma light source (LPP). The DPP light source is based on the principle that high-voltage pulses are added between two electrodes to ionize gas between the electrodes to form plasma, pulse current flowing through a plasma region forms a ring-shaped magnetic field to compress the plasma (namely pinch effect) to form high-temperature and high-density plasma, and extreme ultraviolet radiation is generated. The DPP light source directly converts electric energy into plasma energy, has high energy conversion efficiency, high output power and low cost. Through many years of research, the DPP light source is developed into a laser-assisted discharge plasma light source (LDP). The plasma of the LDP technology is generated by discharging between two disc electrodes partially immersed in a liquid tin bath, the surfaces of the electrodes can be wetted to form a thin tin layer, tin on the electrodes is evaporated under the action of pulse laser, so that a tin vapor cloud is formed between the two disc electrodes, and the plasma is formed by pulse discharge to generate extreme ultraviolet radiation. By adopting the LDP technology, high repetition rate operation can be realized, and the heat load problem in DPP can be effectively reduced.
The LPP light source mainly utilizes high-energy pulse laser to irradiate a metal target, a liquid target or a gas target after being focused, high-temperature and high-density plasma is generated at a focal point, and the laser is continuously heated to enable the plasma to be highly ionized so as to generate EUV radiation. The LPP technology is gradually developed into a technology that tiny tin droplets with limited quality are evaporated in vacuum through pre-pulse laser to generate foggy Sn atom steam, and then high-temperature tin plasma is generated under the action of high-power pulse CO2 laser to obtain extreme ultraviolet radiation, so that the generation of debris is reduced while the requirements on high conversion efficiency and light source power are ensured. After years of research and development, the laser-assisted discharge plasma and the plasma generated by CO2 laser have greatly improved the technology of the extreme ultraviolet light source. The LDP light source is mainly used for mask detection in euv lithography, and the LPP light source is mainly used for lithography. However, both LPP and LDP technologies have not been able to produce euv light sources in a large scale.
The laser plasma extreme ultraviolet light source provided by the application can effectively solve the problems.
In one embodiment, as shown in fig. 1, there is provided a laser plasma euv light source with high light source conversion efficiency, comprising:
a vacuum target chamber 10;
an optical lens module 20; the laser is positioned in the vacuum target chamber 10 through an optical focus formed by the optical lens module 20;
a droplet target generator 30; the droplet target generator 30 is used to supply droplets to the vacuum target chamber 10; the liquid drops pass through an optical focus on a moving line of the vacuum target chamber 10, so that the liquid drops and the laser generated by the liquid drop target generator 30 generate extreme ultraviolet light at the optical focus;
a collecting mirror 40 (not shown in fig. 1) for collecting extreme ultraviolet light; the collecting direction of the collecting mirror 40 is perpendicular to the laser incidence direction and is not parallel to the moving direction of the droplet.
Wherein, the vacuum target chamber can be any equipment capable of providing a vacuum environment in the field; the optical lens module can be an optical system formed by combining any optical lens in the field; a droplet target generator is used to provide droplets. The collecting mirror is used for collecting the generated extreme ultraviolet light and sending the extreme ultraviolet light to a target direction.
Specifically, the laser mentioned above is a continuous laser, and the laser passes through the optical lens module, and the optical focus formed in the space is located inside the vacuum target chamber. By providing a vacuum environment, damage to the device from the focused laser light is avoided. With a laser gain medium, breakdown of the laser gain medium is avoided.
The droplet target generator is used for providing droplets to the vacuum target chamber, the moving direction of the droplets is consistent with the emergent direction of the droplets in a vacuum environment, and the speed of the droplets and the quantity of the provided droplets are conveniently controlled under the condition of no gravity influence. In one particular example, the droplet target generator can be located inside a vacuum target chamber. In another specific example, the droplet target generator may be embedded in the vacuum target chamber, as long as it can output droplets to the inside of the vacuum target chamber, which is not limited herein. Further, the droplet moving route needs to pass through the optical focus. The laser and the droplet may generate extreme ultraviolet light at the optical focus. It should be noted that the generation of extreme ultraviolet light at the optical focus needs to meet a certain power density. In one specific example, the vacuum target chamber is opened with a small transparent window so that the laser passes through the optical lens module and the small window in sequence and forms an optical focus in the space target chamber.
And the laser passes through the optical lens module and then is converged to the optical focus. The droplets react with the laser converged at the optical focus, and the intense laser light exiting from the focus breaks down the droplets and forms plasma, thereby generating extreme ultraviolet light. The radiated extreme ultraviolet light is collected by a collector. The collecting direction of the collector is perpendicular to the incident direction of the laser and is not parallel to the moving direction of the liquid drops so as to prevent the liquid drops from running. In one specific example, the collection direction of the collector is perpendicular to the laser incidence direction and perpendicular to the movement direction of the droplets.
In the conventional technology, the collecting direction is parallel to the laser incidence direction, and a small hole needs to be formed in the middle of the collector so that laser can pass through the small hole. And the collection direction of the collector of this application is perpendicular with laser incident direction, and the hole need not be seted up to the centre of collecting mirror to can collect more light, improve collection efficiency, further improvement the conversion efficiency of light source.
In one embodiment, the optical lens module includes a predetermined number of lens groups; each lens group includes a first lens and a second lens; the first lens and the second lens form a resonant cavity; the focal point of the first lens falls within the range of the resonant cavity.
Wherein the position of the focal point of the first lens coincides with the position of the optical focal point. The first lens and the second lens are formed with a resonant cavity. The focal point of the first lens falls within the range of the resonant cavity.
It should be noted that the placement positions of the first lens and the second lens may be any, as long as the focal point of the first lens can fall within the range of the resonant cavity. In one embodiment, the first lens is a lens through which light is incident for a first time. In some embodiments, a first gain medium and a second gain medium may also be included; the first gain medium is arranged on one side of the first lens far away from the focus, and the second gain medium is arranged on one side of the second lens far away from the focus. The first gain medium and the second gain medium are used for amplifying optical power so as to generate extreme ultraviolet light more quickly. In the conventional device, the laser of the main pulse adopts a main vibration amplification technology, which includes multi-stage amplification such as pre-amplification and main amplification and inter-stage isolation measures, so that a lot of optical elements are needed, on one hand, the loss of laser power is caused, on the other hand, the directivity of the main laser is deteriorated due to the excessive optical elements, and the spatial synchronization of the main pulse CO2 laser and the liquid drop is difficult to realize. In the application, the focus is formed by a plurality of groups of lens groups to improve the power density of the light source. It should be noted that the laser light entering the different lens groups may have different wavelengths and different powers, and is not limited herein.
In one embodiment, the optical lens module includes a predetermined number of optical lenses; the position of the focal point of each optical lens coincides with the position of the optical focal point.
Specifically, there may be one optical lens, and the focal point formed by the lens may be located in the vacuum target chamber.
In one embodiment, as shown in FIG. 2, further comprises a pump source; the pump source is used for generating laser.
Specifically, the laser working substance is excited by a pumping source, and the excited particles are pumped from a ground state to a high energy level to realize population inversion, thereby generating laser.
In one embodiment, as shown in FIG. 3, further comprises a gain medium;
the gain medium is arranged on one side of the optical lens module close to the optical focus.
Specifically, the gain material is arranged on one side of the optical lens module close to the optical focus and used for enhancing the optical power.
In one embodiment, as shown in fig. 4, there is provided a source laser plasma euv light source, comprising:
a vacuum target chamber 10;
an optical lens module 20; the laser is positioned in the vacuum target chamber 10 through an optical focus formed by the optical lens module 20;
a droplet target generator 30; the droplet target generator 30 is used to supply droplets to the vacuum target chamber 10; the liquid drops pass through an optical focus on a moving line of the vacuum target chamber 10, so that the liquid drops and the laser generated by the liquid drop target generator 30 generate extreme ultraviolet light at the optical focus;
a collecting mirror 40 for collecting the extreme ultraviolet light; the collecting direction of the collecting mirror 40 is perpendicular to the laser incidence direction and is not parallel to the moving direction of the droplet.
The system also comprises a laser detector and a processor;
the laser detector is used for detecting the power of the laser output and transmitting the power to the processor;
the processor adjusts the pumping intensity of the pump source based on the power of the laser output.
Specifically, the laser detector detects a power signal of laser output, that is, the power of the laser output, and adjusts the pumping intensity of the pumping source according to the power of the laser output, so that the power of the extreme ultraviolet light source can be controlled. It should be noted that the laser detector can also be used to detect the frequency of generation of the droplets.
In one embodiment, as shown in fig. 5, there is provided a method for generating extreme ultraviolet light, applied to a laser plasma extreme ultraviolet light source as described in any one of the above, including the steps of:
s510, detecting whether an event that laser passes through the optical lens module occurs or not;
specifically, the event of the laser passing through the optical lens module can be detected by any means in the art.
S520, if the detection result is positive, transmitting a driving command to the droplet target generator; the driving instruction is used for instructing the droplet target generator to be started, so that the droplets generated by the droplet target generator and the laser generate extreme ultraviolet light at the optical focus.
Specifically, when the laser passes through the optical lens module, a driving instruction is transmitted to the droplet target generator, the droplet target generator is started and generates droplets after receiving the driving instruction, and the generated droplets and the laser act at the optical focus.
In one embodiment, as shown in fig. 6, there is provided a method for generating extreme ultraviolet light, applied to a laser plasma extreme ultraviolet light source as described in any one of the above, including the steps of:
s610, detecting whether an event that the laser passes through the optical lens module occurs or not;
s620, if the detection result is positive, transmitting a driving command to the droplet target generator; the driving instruction is used for instructing the droplet target generator to be started, so that the droplets generated by the droplet target generator and the laser generate extreme ultraviolet light at the optical focus.
Further comprising the steps of:
s630, acquiring the power output by the laser;
specifically, the power of the laser output may be obtained by any means in the art, for example, a laser detector may be used to obtain the power of the laser output.
And S640, adjusting the pumping intensity of the pumping source according to the power of the laser output.
Specifically, the power of the extreme ultraviolet light source can be controlled by adjusting the pumping intensity.
It should be understood that although the various steps in the flowcharts of fig. 5-6 are shown in order as indicated by the arrows, the steps are not necessarily performed in order as indicated by the arrows. The steps are not performed in the exact order shown and described, and may be performed in other orders, unless explicitly stated otherwise. Moreover, at least some of the steps in fig. 5-6 may include multiple sub-steps or multiple stages that are not necessarily performed at the same time, but may be performed at different times, and the order of performance of the sub-steps or stages is not necessarily sequential, but may be performed in turn or alternating with other steps or at least some of the sub-steps or stages of other steps.
In order to further explain the laser plasma extreme ultraviolet light source provided by the present application, the following description is made in detail with particular reference to a specific example.
At present, as shown in fig. 7, the schematic diagram of the prior art, such a light source mainly includes: the device comprises a target device 1, a pulse laser 2, a focusing mirror 3 and a collecting mirror 4 with a hole. The pulse laser 2 is focused by the lens 3 and then acts with the droplet target at a focus of the collecting mirror. The target material is heated, gasified and ionized. The excited ionized high ionization state ions 5 radiate extreme ultraviolet light. This radiation light in the extreme ultraviolet band is collected by a multilayer film collecting mirror 4 and output from an IF spot (Intermediate focus) (the other focus of the collecting mirror 14) 6. Which uses a droplet tin target of about 20m in diameter, which must be highly synchronized with the pre-pulse and the main pulse in space-time in order to improve the conversion efficiency, if the droplet does not reach the predetermined position at the desired time, the laser will partially or completely lose the target, resulting in a reduction in the conversion efficiency of the light source and affecting the stability of the exposure dose. Meanwhile, the laser of the main pulse adopts a main vibration amplification technology, including multi-stage amplification such as pre-amplification and main amplification and inter-stage isolation measures, and many optical elements are needed, so that on one hand, the loss of laser power is caused, and on the other hand, the directivity of the main laser is deteriorated due to the excessive optical elements, so that the spatial synchronization of the main pulse CO2 laser and the liquid drop is difficult to realize. The laser technology of the master oscillator amplification has reached the limit, and further power increase is limited. The laser is coaxial with the collector mirror, so the collector mirror must be apertured, reducing the collection efficiency.
The laser plasma extreme ultraviolet light source adopts a high-power continuous laser, and a laser resonant cavity with a focus is formed in the cavity, so that the power density at the focus can meet the condition of forming extreme ultraviolet light. Meanwhile, the interior of the resonant cavity is in a vacuum environment, and the vacuum environment is provided through a vacuum target chamber to avoid the breakdown of the laser gain medium. The liquid drops generated by the liquid drop reactor can flow through the focus in the vacuum target chamber and interact with the high-power continuous laser to form extreme ultraviolet light output, and the focus continuously exists in the vacuum target chamber due to the fact that the laser operates continuously, and the requirement of space-time synchronization of the liquid drops and the laser is lowered. In addition, the number of the high-power continuous lasers can be multiple, the focuses of the lasers in the vacuum target chamber are the same, and the lasers can act on a certain liquid drop together. At the moment, the power of the extreme ultraviolet light can break through the traditional upper limit to be improved, and only the number of the lasers needs to be correspondingly increased.
The following is further described with reference to the accompanying drawings:
fig. 8 is a schematic diagram illustrating an exemplary embodiment of the present invention. In fig. 8, 7 is a droplet target generator, which supplies droplets into a vacuum target chamber 8, which has a window through which the laser light can pass in order to form a focal spot in the vacuum target chamber. The laser gain medium 9 is a laser gain medium, 10 and 12 form a laser resonant cavity, the laser resonant cavity forms a focus 18 in a vacuum target chamber, 14 is a laser detector, signals of the laser detector are transmitted to 16 after being processed, 16 is a laser pumping source, and the pumping source 16 pumps the gain medium 9 through 17. In this application, the gain medium 9 may be a gas, a solid, an optical fiber, etc., and the laser pump source 16 may be an electrical pump or an optical pump. Under the action of the pump source 16, the laser constituted by the resonator mirrors 10, 12 outputs continuous laser light, forming a focus in the cavity. The droplets generated by the droplet target generator pass through the focal point 18, and the droplets are broken down to form plasma due to the high laser intensity at the focal point, thereby generating extreme ultraviolet radiation. When the extreme ultraviolet light source works, the laser detector 14 transmits a signal for detecting the output power of laser to the laser pumping source through the light source 15, and controls the intensity of the pumping source 16, so that the power of the extreme ultraviolet light source can be controlled.
Fig. 9 shows still another structure of the laser plasma euv light source of the present invention. In contrast to the arrangement corresponding to fig. 8, in the present embodiment an optical system consisting of lenses 19 and 20 is placed in the resonator, by means of which optical system a focal point is formed in the resonator. A lens 19 may also be used to form the focal point by the design of the resonant cavity. In addition, in the present embodiment, the laser gain media 21 and 22 may also be placed on both sides of the vacuum target chamber. 19. 20, 21, 22 form a resonant cavity system, forming a laser oscillation, the output laser light being detected by the detector 14. When the extreme ultraviolet light source works, the liquid drop target generator 7 emits liquid drops to the vacuum target chamber, and the liquid drops are broken down by laser to form plasma when reaching the focus 8 to radiate extreme ultraviolet light.
Fig. 10 is a top view of fig. 9, and fig. 10 shows a collecting mirror and a manner of collecting extreme ultraviolet light. The collector mirror 23 collects the euv radiation at 18 to the focal point 20. The laser plasma extreme ultraviolet light source of the present embodiment is different from that of fig. 9. Laser direction and collection direction are unanimous among the 9, so there is the hole in the middle of the collection mirror to let laser pass through, and in this embodiment liquid drop direction, laser direction and collection direction three are perpendicular, do not need the hole in the middle of the collection mirror, can improve collection efficiency.
Fig. 11 is a modular laser plasma euv light source embodiment, where multiple lasers may be arranged in two dimensions and three dimensions with a common focus. The embodiment is an extreme ultraviolet light source scheme of two lasers. In fig. 11, 24, 25, 26, 27 and 28 form a first laser, 29, 30, 31, 32 and 33 form a second laser, and the output wavelengths and powers of the first laser and the second laser can be different but have a common focus 18, so that a dual-wavelength laser plasma light source can be formed, and the conversion efficiency of the extreme ultraviolet light source can be optimized. In this embodiment, a 2-laser plasma light source is adopted, but a plurality of 2 or more lasers can be configured to further increase the power of the light source.
In one embodiment, as shown in fig. 12, there is provided an extreme ultraviolet light generating apparatus including:
the detection module is used for detecting whether an event that the laser passes through the optical lens module occurs or not;
the starting module is used for transmitting a driving instruction to the droplet target generator if the detection result is positive; the driving instruction is used for instructing the droplet target generator to be started, so that the droplets generated by the droplet target generator and the laser generate extreme ultraviolet light at the optical focus.
In one embodiment, further comprising:
the acquisition module is used for acquiring the power of laser output;
and the adjusting module is used for adjusting the pumping intensity of the pumping source according to the power of the laser output.
For the specific definition of the extreme ultraviolet light generating device, reference may be made to the above definition of the extreme ultraviolet light generating method, which is not described herein again. All or part of the modules in the extreme ultraviolet light generating device can be realized by software, hardware and a combination thereof. The modules can be embedded in a hardware form or independent from a processor in the computer device, and can also be stored in a memory in the computer device in a software form, so that the processor can call and execute operations corresponding to the modules.
In one embodiment, a computer-readable storage medium is provided, having a computer program stored thereon, which when executed by a processor, performs the steps of:
detecting whether an event that laser passes through the optical lens module occurs or not;
if the detection result is yes, transmitting a driving command to the droplet target generator; the driving instruction is used for instructing the droplet target generator to be started, so that the droplets generated by the droplet target generator and the laser generate extreme ultraviolet light at the optical focus.
In one embodiment, the computer program when executed by the processor further performs the steps of:
acquiring the power of laser output;
and adjusting the pumping intensity of the pumping source according to the power of the laser output.
It will be understood by those skilled in the art that all or part of the processes of the methods of the embodiments described above can be implemented by hardware instructions of a computer program, which can be stored in a non-volatile computer-readable storage medium, and when executed, can include the processes of the embodiments of the methods described above. Any reference to memory, storage, database, or other medium used in the embodiments provided herein may include non-volatile and/or volatile memory, among others. Non-volatile memory can include read-only memory (ROM), Programmable ROM (PROM), Electrically Programmable ROM (EPROM), Electrically Erasable Programmable ROM (EEPROM), or flash memory. Volatile memory can include Random Access Memory (RAM) or external cache memory. By way of illustration and not limitation, RAM is available in a variety of forms, such as Static RAM (SRAM), Dynamic RAM (DRAM), Synchronous DRAM (SDRAM), Double Data Rate SDRAM (DDRSDRAM), Enhanced SDRAM (ESDRAM), Synchronous Link DRAM (SLDRAM), Rambus DRAM (RDRAM), and interface DRAM (DRDRAM).
In the description herein, references to the description of "some embodiments," "other embodiments," "desired embodiments," etc., mean that a particular feature, structure, material, or characteristic described in connection with the embodiment or example is included in at least one embodiment or example of the invention. In this specification, a schematic description of the above terminology may not necessarily refer to the same embodiment or example.
The technical features of the embodiments described above may be arbitrarily combined, and for the sake of brevity, all possible combinations of the technical features in the embodiments described above are not described, but should be considered as being within the scope of the present specification as long as there is no contradiction between the combinations of the technical features.
The above-mentioned embodiments only express several embodiments of the present invention, and the description thereof is more specific and detailed, but not construed as limiting the scope of the invention. It should be noted that, for a person skilled in the art, several variations and modifications can be made without departing from the inventive concept, which falls within the scope of the present invention. Therefore, the protection scope of the present patent shall be subject to the appended claims.

Claims (10)

1. A laser plasma extreme ultraviolet light source, comprising:
a vacuum target chamber;
an optical lens module; an optical focus formed by the laser through the optical lens module is positioned in the vacuum target chamber;
a droplet target generator; the droplet target generator is used for providing droplets to the vacuum target chamber; the liquid drops pass through the optical focus on the moving line of the vacuum target chamber, so that the liquid drops generated by the liquid drop target generator and the laser generate extreme ultraviolet light at the optical focus;
a collecting mirror for collecting the extreme ultraviolet light; the collecting direction of the collecting mirror is perpendicular to the incidence direction of the laser and is not parallel to the moving direction of the liquid drops.
2. The laser plasma euv light source of claim 1, wherein the optical lens module comprises a predetermined number of lens groups; each of the lens groups includes a first lens and a second lens;
the first lens and the second lens form a resonant cavity; the focal point of the first lens falls within the range of the resonant cavity.
3. The laser plasma euv light source of claim 1, wherein the optical lens module comprises a predetermined number of optical lenses;
the position of the focal point of each optical lens coincides with the position of the optical focal point.
4. The laser plasma extreme ultraviolet light source of claim 1, further comprising a pump source;
the pump source is used for generating the laser.
5. The laser plasma extreme ultraviolet light source of claim 1, further comprising a gain medium;
the gain medium is arranged on one side of the optical lens module close to the optical focus.
6. The laser plasma extreme ultraviolet light source of claim 1, further comprising a laser detector and a processor;
the laser detector is used for detecting the power output by the laser and transmitting the power to the processor;
the processor adjusts the pumping intensity of the pump source according to the power of the laser output.
7. A method for generating extreme ultraviolet light, which is applied to the laser plasma extreme ultraviolet light source of any one of claims 1 to 6, comprising the steps of:
detecting whether an event that the laser passes through the optical lens module occurs or not;
if the detection result is yes, transmitting a driving command to the droplet target generator; the driving instruction is used for instructing the droplet target generator to be started, so that the droplets generated by the droplet target generator and the laser generate extreme ultraviolet light at the optical focus.
8. The method for generating extreme ultraviolet light according to claim 7, further comprising the steps of:
acquiring the power output by the laser;
and adjusting the pumping intensity of the pumping source according to the power of the laser output.
9. An extreme ultraviolet light generating device, comprising:
the detection module is used for detecting whether an event that the laser passes through the optical lens module occurs or not;
the starting module is used for transmitting a driving instruction to the droplet target generator if the detection result is positive; the driving instruction is used for instructing the droplet target generator to be started, so that the droplets generated by the droplet target generator and the laser generate extreme ultraviolet light at the optical focus.
10. A computer-readable storage medium, on which a computer program is stored, which, when being executed by a processor, carries out the steps of the method of any one of claims 7 to 8.
CN202010904043.8A 2020-09-01 2020-09-01 Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method Active CN111999989B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010904043.8A CN111999989B (en) 2020-09-01 2020-09-01 Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010904043.8A CN111999989B (en) 2020-09-01 2020-09-01 Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method

Publications (2)

Publication Number Publication Date
CN111999989A true CN111999989A (en) 2020-11-27
CN111999989B CN111999989B (en) 2023-07-14

Family

ID=73466210

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010904043.8A Active CN111999989B (en) 2020-09-01 2020-09-01 Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method

Country Status (1)

Country Link
CN (1) CN111999989B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113433804A (en) * 2021-07-26 2021-09-24 广东省智能机器人研究院 Extreme ultraviolet lithography method and system
CN113433805A (en) * 2021-07-26 2021-09-24 广东省智能机器人研究院 Extreme ultraviolet lithography method and system

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007088267A (en) * 2005-09-22 2007-04-05 Komatsu Ltd Extreme ultra violet light source device
US20080006783A1 (en) * 2006-06-13 2008-01-10 Xtreme Technologies Gmbh Arrangement for the generation of extreme ultraviolet radiation by means of electric discharge at electrodes which can be regenerated
CN101111119A (en) * 2006-07-20 2008-01-23 中国科学院长春光学精密机械与物理研究所 Micro-current target laser plasma soft X ray-extreme ultraviolet light source
JP2008532232A (en) * 2005-02-25 2008-08-14 サイマー インコーポレイテッド Laser generated plasma EUV light source
US20100176310A1 (en) * 2009-01-09 2010-07-15 Masato Moriya Extreme ultra violet light source apparatus
CN103105740A (en) * 2013-01-16 2013-05-15 华中科技大学 Solid-liquid combined target-based extreme ultraviolet source generator and light source system
US20130321901A1 (en) * 2001-05-03 2013-12-05 Cymer, Llc Drive laser for euv light source
US20140239795A1 (en) * 2013-02-26 2014-08-28 Samsung Electronics Co., Ltd. Light source device and semiconductor manufacturing apparatus including the same
US20160037616A1 (en) * 2013-05-21 2016-02-04 Gigaphoton Inc. Extreme uv light generation apparatus
US20170064799A1 (en) * 2014-07-25 2017-03-02 Gigaphoton Inc. Extreme uv light generator
CN108353489A (en) * 2015-08-12 2018-07-31 Asml荷兰有限公司 Target spreading rate control in EUV light source
US20200033731A1 (en) * 2017-05-01 2020-01-30 Gigaphoton Inc. Target supply device, extreme ultraviolet light generation device, and target supply method
CN110874022A (en) * 2018-08-31 2020-03-10 台湾积体电路制造股份有限公司 Extreme ultraviolet radiation generating device

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130321901A1 (en) * 2001-05-03 2013-12-05 Cymer, Llc Drive laser for euv light source
JP2008532232A (en) * 2005-02-25 2008-08-14 サイマー インコーポレイテッド Laser generated plasma EUV light source
JP2007088267A (en) * 2005-09-22 2007-04-05 Komatsu Ltd Extreme ultra violet light source device
US20080006783A1 (en) * 2006-06-13 2008-01-10 Xtreme Technologies Gmbh Arrangement for the generation of extreme ultraviolet radiation by means of electric discharge at electrodes which can be regenerated
CN101111119A (en) * 2006-07-20 2008-01-23 中国科学院长春光学精密机械与物理研究所 Micro-current target laser plasma soft X ray-extreme ultraviolet light source
US20100176310A1 (en) * 2009-01-09 2010-07-15 Masato Moriya Extreme ultra violet light source apparatus
CN103105740A (en) * 2013-01-16 2013-05-15 华中科技大学 Solid-liquid combined target-based extreme ultraviolet source generator and light source system
US20140239795A1 (en) * 2013-02-26 2014-08-28 Samsung Electronics Co., Ltd. Light source device and semiconductor manufacturing apparatus including the same
US20160037616A1 (en) * 2013-05-21 2016-02-04 Gigaphoton Inc. Extreme uv light generation apparatus
US20170064799A1 (en) * 2014-07-25 2017-03-02 Gigaphoton Inc. Extreme uv light generator
CN108353489A (en) * 2015-08-12 2018-07-31 Asml荷兰有限公司 Target spreading rate control in EUV light source
US20200033731A1 (en) * 2017-05-01 2020-01-30 Gigaphoton Inc. Target supply device, extreme ultraviolet light generation device, and target supply method
CN110874022A (en) * 2018-08-31 2020-03-10 台湾积体电路制造股份有限公司 Extreme ultraviolet radiation generating device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
陈子琪 等: "CO_2激光诱导液滴射流等离子体的实验研究", 《激光技术》 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113433804A (en) * 2021-07-26 2021-09-24 广东省智能机器人研究院 Extreme ultraviolet lithography method and system
CN113433805A (en) * 2021-07-26 2021-09-24 广东省智能机器人研究院 Extreme ultraviolet lithography method and system

Also Published As

Publication number Publication date
CN111999989B (en) 2023-07-14

Similar Documents

Publication Publication Date Title
US8958143B2 (en) Master oscillator—power amplifier drive laser with pre-pulse for EUV light source
JP3978385B2 (en) Apparatus and method for generating extreme ultraviolet radiation based on gas discharge
Butler et al. Demonstration of a collisionally excited optical-field-ionization XUV laser driven in a plasma waveguide
US9072152B2 (en) Extreme ultraviolet light generation system utilizing a variation value formula for the intensity
US6421421B1 (en) Extreme ultraviolet based on colliding neutral beams
US7535013B2 (en) Extreme UV radiation exposure tool and extreme UV radiation source device
CN111999989B (en) Laser plasma extreme ultraviolet light source and extreme ultraviolet light generating method
JP2009099390A (en) Extreme ultraviolet light source device and extreme ultraviolet light generating method
JP2006303461A (en) Method and equipment for efficient formation of shortwave radiation based on laser formation plasma
US20140084183A1 (en) Extreme ultraviolet light generation system
JP2007529903A (en) LPP EUV light source
EP2170020B1 (en) Extreme ultraviolet light source device and method for generating extreme ultraviolet radiation
JP2004531861A (en) High flow rate and high energy photon source
JP4618013B2 (en) Extreme ultraviolet light source device
US5175757A (en) Apparatus and method to enhance X-ray production in laser produced plasmas
JP2007305908A (en) Extreme ultraviolet light source apparatus
WO2004097520A2 (en) Fiber laser-based euv-lithography
CN113661446A (en) EUV radiation source and related methods
Zhang et al. Xenon discharge-produced plasma radiation source for EUV lithography
CN103149804B (en) Device and method for generating extreme ultraviolet source based on radial polarization laser driving
Sánchez et al. Experimental study of proton acceleration from thin-foil on a table top Ti: Sapphire
JP2699894B2 (en) X-ray preionization discharge excitation gas laser apparatus and oscillation method thereof
Mercer et al. EUV light source and laser considerations for scalability and high-energy conversion efficiency
Nowak et al. Efficient and compact short pulse MOPA system for laser-produced-plasma extreme-UV sources employing RF-discharge slab-waveguide CO2 amplifiers
Teramoto et al. Dependence of laser parameter on conversion efficiency in high-repetition-rate laser-ablation-discharge EUV source

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant