CN111954921A - Carbon hardmask for patterning applications and associated methods - Google Patents

Carbon hardmask for patterning applications and associated methods Download PDF

Info

Publication number
CN111954921A
CN111954921A CN201980024613.XA CN201980024613A CN111954921A CN 111954921 A CN111954921 A CN 111954921A CN 201980024613 A CN201980024613 A CN 201980024613A CN 111954921 A CN111954921 A CN 111954921A
Authority
CN
China
Prior art keywords
power
substrate
less
amorphous carbon
carbon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980024613.XA
Other languages
Chinese (zh)
Inventor
E·文卡塔苏布磊曼聂
杨扬
P·曼纳
K·拉马斯瓦米
T·越泽
A·B·玛里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111954921A publication Critical patent/CN111954921A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

Embodiments herein provide a method of depositing an amorphous carbon layer using a Plasma Enhanced Chemical Vapor Deposition (PECVD) process, and a hard mask formed thereby. In one embodiment, a method of processing a substrate includes: positioning a substrate on a substrate support disposed in a processing volume of a processing chamber; flowing a process gas comprising a hydrocarbon gas and a diluent gas into the process volume; maintaining the process volume at a process pressure of less than about 100 mTorr; igniting and sustaining a deposition plasma of a process gas by applying a first power to one of one or more power electrodes of a process chamber; maintaining the substrate support at a processing temperature of less than about 350 ℃; exposing a surface of a substrate to a deposition plasma; and depositing an amorphous carbon layer on the surface of the substrate.

Description

Carbon hardmask for patterning applications and associated methods
Background
Technical Field
Embodiments described herein relate generally to the field of semiconductor device manufacturing and, more particularly, to amorphous carbon layers and methods of depositing amorphous carbon layers for use in electronic device manufacturing processes.
Background
Carbon hard masks formed from amorphous carbon are used in semiconductor device fabrication as etch masks for forming high aspect ratio openings (e.g., 2: 1 or greater height to width ratio) in the substrate surface or in material surface layers thereof. In general, processing issues related to forming high aspect ratio openings, including plugging, hole shape distortion, pattern distortion, top critical dimension enlargement, line bending, and contour bending, are the result of undesirable material characteristics of conventionally deposited carbon hard masks. For example, carbon hard masks having one or a combination of a lower material density and a lower material hardness (i.e., young's modulus) are known to cause increased deformation of high aspect ratio openings when compared to hard mask materials having a higher density or higher hardness. Similarly, both lower etch selectivity between the hard mask material and the substrate material disposed therebelow to be etched, and hard mask materials with higher film stress (compressive or tensile), are known to cause increased crack pattern deformation and line bending when compared to processes using hard mask materials with higher etch selectivity and lower film stress to the underlying substrate material. Furthermore, as Critical Dimensions (CDs) shrink and the height of high aspect ratio openings increase, the thickness of conventionally deposited carbon hard masks used to form high aspect ratio openings also increases. Unfortunately, hard masks with lower transparency due to one or both of low optical K and increased thickness can cause alignment problems in subsequent photolithography processes. A hard mask material with a higher etch selectivity to the underlying substrate material allows for a reduced thickness, and is therefore desirable, as compared to a hard mask with a lower etch selectivity. Furthermore, processes with lower etch selectivity between the hard mask material and the underlying substrate material typically rely on relatively thicker hard masks, which undesirably increases the processing time and cost of the deposition, resulting in reduced substrate throughput and increased device cost.
Accordingly, there is a need in the art for improved amorphous carbon hard masks and improved methods of forming improved amorphous carbon hard masks.
Disclosure of Invention
Embodiments of the present disclosure generally describe methods of depositing an amorphous carbon layer onto a substrate using a Plasma Enhanced Chemical Vapor Deposition (PECVD) process and a hard mask formed therefrom, including depositing on a previously formed layer on the substrate.
In one embodiment, a method of processing a substrate includes: positioning a substrate on a substrate support disposed in a processing volume of a processing chamber; flowing a process gas comprising a hydrocarbon gas and a diluent gas into the process volume; maintaining the process volume at a process pressure of less than about 100 mTorr; igniting and sustaining a deposition plasma of a process gas by applying a first power to one of one or more power electrodes of a process chamber; maintaining the substrate support at a processing temperature of less than about 350 ℃; exposing a surface of a substrate to a deposition plasma; and depositing an amorphous carbon layer on the surface of the substrate.
In another embodiment, a method of processing a substrate includes: positioning a substrate on a substrate support disposed in a processing volume of a processing chamber; flowing a process gas comprising a hydrocarbon gas and a diluent gas into the process volume; maintaining the process volume at a process pressure of less than about 20 mTorr; igniting and sustaining a deposition plasma of a process gas by applying a first ac power to one of the one or more power electrodes of the substrate support, wherein the first ac power is at a substrate receiving surface of the substrate support per cm2Between about 0.7W and about 15W; maintaining the substrate support at a processing temperature of less than about 100 ℃; exposing a surface of a substrate to a deposition plasma; and depositing an amorphous carbon layer on the surface of the substrate.
In another embodiment, a carbon hard mask includes an amorphous carbon layer disposed on a surface of a substrate, wherein the amorphous carbon layer has a density greater than about 1.8g/cm3A young's modulus of greater than about 50GPa, a film stress of less than about 500MPa, and an absorption coefficient (optical K) of less than about 0.15 at a wavelength of about 633 nm.
Drawings
So that the manner in which the features of the present disclosure are attained and can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be understood, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
FIG. 1 is a schematic cross-sectional view of an exemplary processing chamber to practice the methods referenced herein, according to one embodiment.
Fig. 2 is a flow chart of a method of depositing an amorphous carbon layer according to one embodiment.
Fig. 3 illustrates a carbon hard mask formed from an amorphous carbon layer deposited according to the method mentioned in fig. 2, according to one embodiment.
Detailed Description
Embodiments of the present disclosure generally relate to methods for depositing an amorphous carbon layer onto a substrate using a Plasma Enhanced Chemical Vapor Deposition (PECVD) process, including depositing on a previously formed layer on the substrate. In particular, the methods described herein provide lower process pressures, such as less than about 100mTorr, lower process temperatures, such as less than about 350 ℃, and higher powers, such as greater than about 1000W, than typically used in conventional methods of depositing amorphous carbon layers. In certain embodiments herein, power to ignite and sustain a deposition plasma is delivered to one or more power electrodes disposed on or coupled to a substrate support having a substrate disposed thereon. Each or a combination of lower process pressure, lower process temperature, higher power, and substrate-level plasma (plasma formed by capacitive coupling with the power electrode of the substrate support) increases the ion energy at the substrate surface during deposition, which results in an amorphous carbon layer with a desirably higher ratio of sp3 content (diamond-like carbon) to sp2 content (graphite-like carbon) when compared to conventional deposition methods. Because of the resulting higher sp3 content, the methods described herein provide an amorphous carbon layer with improved density, hardness, transparency, etch selectivity, and film stress when compared to conventionally deposited amorphous carbon layers.
FIG. 1 is a schematic cross-sectional view of an exemplary processing chamber to practice the methods referenced herein, according to one embodiment. Other exemplary processing chambers that may be used to practice the methods described herein include those available from applied materials, Inc., Santa Clara, Calif
Figure BDA0002715326100000031
Figure BDA0002715326100000032
And
Figure BDA0002715326100000033
process chambers, and suitable deposition chambers from other manufacturers.
The processing chamber 100 includes a chamber lid assembly 101, one or more sidewalls 102, and a chamber base 104. The chamber lid assembly 101 includes a chamber lid 106, a showerhead 107 disposed in the chamber lid 106 and electrically coupled to the chamber lid 106, and an electrically insulating ring 108 disposed between the chamber lid 106 and the one or more sidewalls 102. The showerhead 107, one or more sidewalls 102, and the chamber base 104 together define a processing volume 105. A gas inlet 109 disposed through the chamber lid 106 is fluidly coupled to a gas source 110. A showerhead 107 having a plurality of openings 111 disposed therethrough is used to uniformly distribute the processing gas from a gas source 110 into the processing volume 105. Here, the chamber lid assembly 101, and thus the showerhead 107, is electrically coupled to ground. In other embodiments, the chamber lid assembly 101, and thus the showerhead 107 disposed therein, is electrically coupled to a power supply (not shown), such as a Continuous Wave (CW) RF power supply, a pulsed RF power supply, a DC power supply, a pulsed DC power supply, or combinations thereof, that delivers one or more bias voltages to the chamber lid assembly 101 and thus to the showerhead 107. In other embodiments, the processing chamber 100 does not include the showerhead 107, and the process gas is delivered to the processing volume 105 through one or more gas inlets disposed through the chamber lid 106 or one or more sidewalls 102.
Here, the processing volume 105 is fluidly coupled to a vacuum source, such as one or more dedicated vacuum pumps, through a vacuum outlet 114, which maintains the processing volume 105 at sub-atmospheric conditions and evacuates process and other gases therefrom. A substrate support 115 disposed in the processing volume 105 is disposed on a movable support rod 116, the movable support rod 116 sealingly extending through the chamber base 104, e.g., surrounded by a bellows (not shown) in a region below the chamber base 104. Here, the processing chamber 100 is configured to facilitate transfer of a substrate 117 to and from the substrate support 115 through an opening 118 in one of the one or more sidewalls 102, which opening 118 is sealed with a door or valve (not shown) during substrate processing.
Typically, the substrate 117 disposed on the substrate support 115 is maintained at a desired processing temperature using one or both of a heater (e.g., a resistive heating element 119) and one or more cooling channels 120 disposed in the substrate support 115. The one or more cooling channels 120 are fluidly coupled to a coolant source (not shown), such as a modified water source having a relatively high electrical resistance, or a refrigerant source.
In some embodiments, one or more power electrodes (not shown) embedded in the dielectric material of the substrate support 115 or coupled thereto are coupled to one or more RF or other ac frequency power supplies, such as a first power supply 121A and a second power supply 121B, via matching circuitry 122. Here, the deposition plasma 123 is ignited and sustained in the processing volume 105 by capacitively coupling the process gas in the processing volume 105 with one of the one or more power electrodes with ac power delivered to one of the one or more power electrodes from the first power supply 121A. In certain embodiments, the deposition plasma 123 is further sustained by capacitive coupling with one of the one or more power electrodes with ac power delivered from the second power supply 121B to the one of the one or more power electrodes. Here, each of the first and second power supplies 121A and 121B delivers ac power having a frequency between about 350kHz and about 100MHz, where the frequency of the power from the first power supply 121A is different from the frequency from the second power supply 121B.
Fig. 2 is a flow diagram of a method of depositing an amorphous carbon layer on a surface of a substrate, according to one embodiment. At act 201, the method 200 includes positioning a substrate on a substrate support. Here, a substrate support is disposed in a processing volume of a process chamber, such as the process chamber 100 described in figure 1. At act 202, the method 200 includes flowing a process gas into a process volume. Typically, the process gas comprises: carbon source gases, e.g. hydrocarbon gases, e.g. CH4、C2H2、C3H8、C4H10、C2H4、C3H6、C4H8And C5H10Or a combination thereof; and a diluent gas, e.g., argonFor example, an inert gas, such as Ar, He, Ne, Kr, or Xe, or a combination thereof. In certain embodiments, the diluent gas comprises an inert gas, N2、H2Or a combination thereof. In certain embodiments, the ratio of the flow rate of hydrocarbon gas to diluent gas (hereinafter ratio) is between about 1: 10 and about 10: 1, for example between about 1: 5 and about 5: 1. For example, in one embodiment, C2H2The ratio to He is between about 1: 3 and about 3: 1. In certain embodiments, the diluent gas comprises H2And H is2And the carbon source gas is in a ratio of about 0.5: 1 and about 1: 10, for example between about 1: 1 and about 1: 5, or more. At act 203, the method 200 includes maintaining the processing volume at a processing pressure of between about 0.1mTorr and about 100mTorr, such as between about 0.1mTorr and about 50mTorr, between about 0.1mTorr and about 30mTorr, between about 0.1mTorr and about 20mTorr, between about 0.1mTorr and about 15mTorr, such as between about 0.1mTorr and about 10mTorr, or less than about 100mTorr, less than about 50mTorr, less than about 20mTorr, less than about 15mTorr, such as less than about 10 mTorr.
At act 203, the method 200 includes igniting and sustaining a deposition plasma of a process gas by applying a first power to one of one or more power electrodes of a process chamber. Here, the one or more power electrodes are one of one or more top electrodes (e.g., a chamber lid of a process chamber or a showerhead disposed in the chamber lid), one or more side electrodes (e.g., one or more sidewalls of the process chamber), or are part of the substrate support (e.g., one or more electrodes embedded in or coupled to a dielectric material of the substrate support). Typically, for a processing chamber sized to process 300mm diameter substrates, the first power is between about 500W and about 8kW, such as between about 1000W and about 5 kW. Suitable scales may be used for processing chambers sized to process substrates of different sizes.
In some embodiments, the one or more power electrodes are embedded in a dielectric material of the substrate support or coupled to the substrate supportOne or a combination of dielectric materials of the piece. In certain embodiments, the first power is RF or other ac frequency power per cm of the substrate receiving surface of the substrate support2Between about 0.7W and about 11.3W, referred to herein as W/cm2E.g. between about 1.4W/cm2And about 7.1W/cm2Or between about 500W and about 5kW for a substrate support having a substrate support surface sized to support a 300mm diameter substrate, for example between about 1000W and about 5 kW.
In some embodiments, method 200 further comprises applying a second power to one of the one or more power electrodes, wherein the second power is RF or other ac frequency power at about 0.14W/cm2And about 7.1W/cm2Between, for example, about 0.14W/cm2And about 3.5W/cm2Or between about 100W and about 5kW for a substrate support having a substrate support surface sized to support a 300mm diameter substrate, for example between about 100W and about 2.5 kW. Here, the frequency of the second power is different from the frequency of the first power. Typically, one or both of the first power and the second power has a frequency between about 350kHz and about 100MHz, such as about 350kHz, about 2MHz, about 13.56MHz, about 27MHz, about 40MHz, about 60MHz, and about 100 MHz. In some embodiments, the first power and the second power are applied to different power electrodes that are electrically insulated from each other, for example dual power electrodes embedded in and insulated from each other by a dielectric material of the substrate support. In some embodiments, the first power and the second power are applied to the same power electrode using a conventional impedance matching circuit.
At act 204, the method 200 includes maintaining the substrate support, and thus the substrate disposed thereon, at a temperature of between about-50 ℃ and about 350 ℃, for example between about-50 ℃ and about 150 ℃, between about-50 ℃ and about 100 ℃, or between about-50 ℃ and about 50 ℃, for example between about-25 ℃ and about 25 ℃, or a temperature of less than about 350 ℃, such as less than about 200 ℃, less than about 150 ℃, or less than 100 ℃, for example less than about 50 ℃.
At acts 205 and 206, method 200 includes exposing a surface of a substrate to a deposition plasma and depositing an amorphous carbon layer on the surface of the substrate, respectively.
FIG. 3 illustrates a carbon hard mask deposited according to the method mentioned in FIG. 2, according to one embodiment. In fig. 3, a carbon hard mask 303, here a patterned carbon hard mask, includes an amorphous carbon layer 302, the amorphous carbon layer 302 having a plurality of openings 304 formed therein and disposed on a surface to be patterned of a substrate 300. Typically, the substrate 300 or one or more material layers thereof is formed of one or a combination of crystalline silicon, silicon oxide, silicon oxynitride, silicon nitride, strained silicon, silicon germanium, tungsten, titanium nitride, doped or undoped polysilicon, carbon doped silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and low-k dielectric materials.
Here, the amorphous carbon layer has: between about
Figure BDA0002715326100000071
And about
Figure BDA0002715326100000072
A thickness of between, for example, about
Figure BDA0002715326100000073
And about
Figure BDA0002715326100000074
E.g. between about
Figure BDA0002715326100000075
And about
Figure BDA0002715326100000076
To (c) to (d); greater than about 1.8g/cm3(ii) a density of (d); a Young's modulus of greater than about 50 GPa; and an absorption coefficient (optical K) of less than about 0.15 at a wavelength of about 633 nm. In certain embodiments, the amorphous carbon layer has a tensile or compressive film stress of less than about 500 MPa. In certain embodiments, the amorphous carbon layer has a tensile film stress of less than about 500 MPa. In certain embodiments, the opening 304Has a molecular weight of greater than about 2: an aspect ratio (height to width) of 1, for example greater than about 3: 1. greater than about 4: 1. greater than about 5: 1. greater than about 6: 1. greater than about 7: 1. greater than about 8: 1. greater than about 9: 1, for example greater than about 10: 1.
the methods described herein provide an amorphous carbon layer, and a carbon hardmask formed therefrom, having improved density, hardness, transparency, etch selectivity, and stress when compared to a conventionally deposited amorphous carbon layer. Furthermore, the methods described herein are intended to be compatible with current carbon hardmask process integration schemes, meaning that introducing the methods into existing device manufacturing lines would not require substantial changes in the associated upstream or downstream processing methods or equipment.
While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (15)

1. A method of processing a substrate, comprising:
positioning a substrate on a substrate support disposed in a processing volume of a processing chamber;
flowing a process gas comprising a hydrocarbon gas and a diluent gas into the process volume;
maintaining the process volume at a process pressure of less than about 100 mTorr;
igniting and sustaining a deposition plasma of the process gas by applying a first power to one of one or more power electrodes of the process chamber;
maintaining the substrate support at a processing temperature of less than about 350 ℃;
exposing a surface of the substrate to the deposition plasma; and
depositing an amorphous carbon layer on the surface of the substrate.
2. The method of claim 1, wherein the amorphous carbon layer deposited has a thickness greater than about 1.8g/cm3The density of (c).
3. The method of claim 1, wherein the amorphous carbon layer deposited has a young's modulus greater than about 50 GPa.
4. The method of claim 1, wherein the amorphous carbon layer deposited has a film stress of less than about 500 MPa.
5. The method of claim 1, wherein the deposited amorphous carbon layer has an absorption coefficient (optical K) of less than about 0.15 at a wavelength of about 633 nm.
6. The method of claim 1, wherein the amorphous carbon layer deposited has a thickness greater than about 1.8g/cm3A young's modulus of greater than about 50GPa, a film stress of less than about 500MPa, and an absorption coefficient (optical K) of less than about 0.15 at a wavelength of about 633 nm.
7. The method of claim 1, wherein the hydrocarbon gas comprises CH4、C2H2、C3H8、C4H10、C2H4、C3H6、C4H8、C5H10Or a combination thereof.
8. The method of claim 7, wherein the treatment temperature is less than about 100 ℃.
9. The method of claim 8, wherein the first power is ac power per cm of a substrate receiving surface of the substrate support2Between about 0.7W and about 11.3W, wherein the first power has a frequency between about 350kHz and about 100 MHz.
10. The method of claim 9, further comprising the steps of: applying a second power to the one or more powersOne of electrodes, wherein the second power is ac power per cm of the substrate receiving surface of the substrate support2Between about 0.14W and about 11.3W, wherein the second power has a frequency between about 350kHz and about 100MHz, and wherein the frequency of the first power is different from the frequency of the second power.
11. A method of processing a substrate, comprising:
positioning a substrate on a substrate support disposed in a processing volume of a processing chamber;
flowing a process gas comprising a hydrocarbon gas and a diluent gas into the process volume, wherein the hydrocarbon gas comprises CH4、C2H2、C3H8、C4H10、C2H4、C3H6、C4H8、C5H10One of, or a combination thereof;
maintaining the process volume at a process pressure of less than about 20 mTorr;
igniting and sustaining a deposition plasma of the process gas by applying a first ac power to one of the one or more power electrodes of the substrate support, wherein the first ac power is at a substrate receiving surface of the substrate support per cm2Between about 0.7W and about 15W;
maintaining the substrate support at a processing temperature of less than about 100 ℃;
exposing a surface of the substrate to the deposition plasma; and
depositing an amorphous carbon layer on the surface of the substrate.
12. The method of claim 11, wherein the diluent gas comprises H2And wherein said H in said process gas2Ratio to hydrocarbon gas is between about 0.5: 1 and about 1: 10, respectively.
13. The method of claim 11, further comprising the steps of: applying a second ac power to one of the one or more power electrodes of the substrate support, wherein the second ac power is per cm of the substrate receiving surface of the substrate support2Between about 0.14W and about 7.1W, wherein the first ac power and the second ac power each have a frequency between about 350kHz and about 100MHz, and wherein the frequency of the first ac power is different than the frequency of the second ac power.
14. A carbon hardmask comprising:
an amorphous carbon layer disposed on a surface of a substrate, wherein the amorphous carbon layer has greater than about 1.8g/cm3A young's modulus of greater than about 50GPa, a film stress of less than about 500MPa, and an absorption coefficient (optical K) of less than about 0.15 at a wavelength of about 633 nm.
15. The carbon hard mask of claim 14, wherein the amorphous carbon layer has a plurality of openings formed therethrough, and wherein each of the plurality of openings has a width greater than about 2: a height to width ratio of 1.
CN201980024613.XA 2018-04-09 2019-04-08 Carbon hardmask for patterning applications and associated methods Pending CN111954921A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862655049P 2018-04-09 2018-04-09
US62/655,049 2018-04-09
PCT/US2019/026354 WO2019199681A1 (en) 2018-04-09 2019-04-08 Carbon hard masks for patterning applications and methods related thereto

Publications (1)

Publication Number Publication Date
CN111954921A true CN111954921A (en) 2020-11-17

Family

ID=68162992

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980024613.XA Pending CN111954921A (en) 2018-04-09 2019-04-08 Carbon hardmask for patterning applications and associated methods

Country Status (7)

Country Link
US (2) US11469097B2 (en)
JP (1) JP7407121B2 (en)
KR (1) KR20200130490A (en)
CN (1) CN111954921A (en)
SG (1) SG11202009406RA (en)
TW (2) TWI780320B (en)
WO (1) WO2019199681A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200130490A (en) * 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 Carbon hard masks and related methods for patterning applications
CN112041481A (en) * 2018-05-03 2020-12-04 应用材料公司 Pulsed plasma (DC/RF) deposition of high quality C films for patterning
SG11202101496WA (en) 2018-10-26 2021-05-28 Applied Materials Inc High density carbon films for patterning applications
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
US20230022359A1 (en) * 2021-07-22 2023-01-26 Applied Materials, Inc. Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
TW468209B (en) * 1997-08-25 2001-12-11 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US20030091938A1 (en) * 2000-02-17 2003-05-15 Applied Materials, Inc. Method of depositing an amorphous carbon layer
CN1879196A (en) * 2003-09-12 2006-12-13 微米技术有限公司 Masking structure including an amorphous carbon layer
KR100715530B1 (en) * 2005-11-11 2007-05-07 주식회사 테스 Method of manufacturing an amorphous carbon film and method of manufacturing semiconductor device using the same
KR100777043B1 (en) * 2007-05-22 2007-11-16 주식회사 테스 Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
US20080003824A1 (en) * 2006-06-28 2008-01-03 Deenesh Padhi Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
CN101355022A (en) * 2007-07-25 2009-01-28 东京毅力科创株式会社 Film formation method and apparatus for semiconductor process
US20090087796A1 (en) * 2007-09-27 2009-04-02 Air Products And Chemicals, Inc. Cyclopentene As A Precursor For Carbon-Based Films
CN102934209A (en) * 2010-05-20 2013-02-13 应用材料公司 Ultra high selectivity ashable hard mask film
US20170103893A1 (en) * 2015-10-09 2017-04-13 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
WO1992017900A1 (en) 1991-04-03 1992-10-15 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
JPH07268622A (en) 1994-03-01 1995-10-17 Applied Sci & Technol Inc Microwave plasma sticking source
TW422892B (en) 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6013980A (en) 1997-05-09 2000-01-11 Advanced Refractory Technologies, Inc. Electrically tunable low secondary electron emission diamond-like coatings and process for depositing coatings
JP2868120B2 (en) 1997-06-11 1999-03-10 川崎重工業株式会社 Electron beam excited plasma generator
EP0990061B1 (en) 1997-06-16 2006-01-04 Robert Bosch Gmbh Method and device for vacuum-coating a substrate
US6320295B1 (en) 1998-11-18 2001-11-20 Mcgill Robert Andrew Diamond or diamond like carbon coated chemical sensors and a method of making same
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
KR100610130B1 (en) 1999-05-19 2006-08-09 미쯔비시 쇼지 플라스틱 가부시키가이샤 Dlc film, dlc-coated plastic container, and method and apparatus for manufacturing dlc-coated plastic container
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
US6900002B1 (en) 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
EP1598441B1 (en) 2003-02-26 2018-09-26 Sumitomo Electric Industries, Ltd. Amorphous carbon film and process for producing the same
KR100988085B1 (en) 2003-06-24 2010-10-18 삼성전자주식회사 High density plasma processing apparatus
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7556718B2 (en) 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
JP2006049817A (en) 2004-07-07 2006-02-16 Showa Denko Kk Plasma treatment method and plasma etching method
WO2006052370A2 (en) 2004-11-03 2006-05-18 Applied Materials, Inc. Diamond like carbon films
US8808856B2 (en) 2005-01-05 2014-08-19 Pureron Japan Co., Ltd. Apparatus and method for producing carbon film using plasma CVD and carbon film
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US8119240B2 (en) 2005-12-02 2012-02-21 United Technologies Corporation Metal-free diamond-like-carbon coatings
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
KR100812504B1 (en) 2006-09-05 2008-03-11 성균관대학교산학협력단 Deposition method of conductive and high hardness carbon thin film and the application as the electrodes for thin-film electroluminescent device
US8500963B2 (en) 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7959735B2 (en) 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
KR100941070B1 (en) 2007-05-10 2010-02-09 세메스 주식회사 Apparatus treating a substrate using plasma
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US20090029067A1 (en) 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8101444B2 (en) 2007-08-17 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2009167512A (en) 2008-01-21 2009-07-30 Kobe Steel Ltd Diamond-like carbon film for sliding component and method for manufacturing the same
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP5122386B2 (en) 2008-07-09 2013-01-16 株式会社ダン・タクマ Case for semiconductor
JP4704453B2 (en) 2008-07-16 2011-06-15 株式会社プラズマイオンアシスト Diamond-like carbon manufacturing apparatus, manufacturing method, and industrial product
WO2010045153A2 (en) * 2008-10-14 2010-04-22 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
JP4755262B2 (en) 2009-01-28 2011-08-24 株式会社神戸製鋼所 Method for producing diamond-like carbon film
US8900471B2 (en) 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
US7842622B1 (en) 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US10049859B2 (en) 2009-07-08 2018-08-14 Aixtron Se Plasma generating units for processing a substrate
WO2011071937A2 (en) 2009-12-07 2011-06-16 Applied Materials, Inc. Method of cleaning and forming a negatively charged passivation layer over a doped region
KR20110115291A (en) 2010-04-15 2011-10-21 경북대학교 산학협력단 Dlc coating apparatus
US20120237693A1 (en) 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers
US20120276743A1 (en) 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
KR20120121340A (en) * 2011-04-26 2012-11-05 삼성전자주식회사 Methods of manufacturing a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
JP2012233529A (en) 2011-04-28 2012-11-29 F C C:Kk Power transmission device
JP2013021382A (en) 2011-07-07 2013-01-31 Toshiba Corp Coaxial cable
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
JP5935116B2 (en) 2011-12-16 2016-06-15 東京エレクトロン株式会社 Plasma processing equipment
KR20140107580A (en) 2011-12-23 2014-09-04 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
JP2012233259A (en) 2012-06-25 2012-11-29 Tokyo Electron Ltd Method for depositing amorphous carbon film, method for producing semiconductor device using the same, and computer-readable storage medium
CN103594495A (en) 2012-08-16 2014-02-19 中国科学院微电子研究所 semiconductor device and making method thereof
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US20150371851A1 (en) 2013-03-15 2015-12-24 Applied Materials, Inc. Amorphous carbon deposition process using dual rf bias frequency applications
US20140273461A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
US20140355912A1 (en) 2013-05-29 2014-12-04 Garett F. Fortune Odor resistant bag and film
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
JP2017507477A (en) 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Development of high etching selectivity hard mask material by ion implantation into amorphous carbon film
US20150200094A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Carbon film stress relaxation
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
US20160042961A1 (en) 2014-08-06 2016-02-11 Applied Materials, Inc. Electron beam plasma source with rotating cathode, backside helium cooling and liquid cooled pedestal for uniform plasma generation
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US20170040140A1 (en) 2015-08-06 2017-02-09 Seagate Technology Llc Magnet array for plasma-enhanced chemical vapor deposition
US10879041B2 (en) 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US9695593B2 (en) 2015-11-10 2017-07-04 Detec Systems Llc Leak detection in roof membranes
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
KR20170127724A (en) 2016-05-12 2017-11-22 삼성전자주식회사 Plasma processing apparatus
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
CN107768300B (en) 2016-08-16 2021-09-17 北京北方华创微电子装备有限公司 Chuck, reaction chamber and semiconductor processing equipment
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10544505B2 (en) 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
CN113936997A (en) 2017-06-08 2022-01-14 应用材料公司 High density low temperature carbon films for hardmask and other patterning applications
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
KR20200130490A (en) 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 Carbon hard masks and related methods for patterning applications
CN112041481A (en) 2018-05-03 2020-12-04 应用材料公司 Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US11587764B2 (en) 2018-11-05 2023-02-21 Applied Materials, Inc. Magnetic housing systems

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
TW468209B (en) * 1997-08-25 2001-12-11 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US20030091938A1 (en) * 2000-02-17 2003-05-15 Applied Materials, Inc. Method of depositing an amorphous carbon layer
CN1879196A (en) * 2003-09-12 2006-12-13 微米技术有限公司 Masking structure including an amorphous carbon layer
KR100715530B1 (en) * 2005-11-11 2007-05-07 주식회사 테스 Method of manufacturing an amorphous carbon film and method of manufacturing semiconductor device using the same
US20080003824A1 (en) * 2006-06-28 2008-01-03 Deenesh Padhi Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
KR100777043B1 (en) * 2007-05-22 2007-11-16 주식회사 테스 Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
CN101355022A (en) * 2007-07-25 2009-01-28 东京毅力科创株式会社 Film formation method and apparatus for semiconductor process
US20090087796A1 (en) * 2007-09-27 2009-04-02 Air Products And Chemicals, Inc. Cyclopentene As A Precursor For Carbon-Based Films
CN102934209A (en) * 2010-05-20 2013-02-13 应用材料公司 Ultra high selectivity ashable hard mask film
US20170103893A1 (en) * 2015-10-09 2017-04-13 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films

Also Published As

Publication number Publication date
US20230021761A1 (en) 2023-01-26
TW201944490A (en) 2019-11-16
WO2019199681A1 (en) 2019-10-17
TW202318505A (en) 2023-05-01
JP7407121B2 (en) 2023-12-28
SG11202009406RA (en) 2020-10-29
US11784042B2 (en) 2023-10-10
TWI780320B (en) 2022-10-11
JP2021520639A (en) 2021-08-19
KR20200130490A (en) 2020-11-18
US20210043449A1 (en) 2021-02-11
US11469097B2 (en) 2022-10-11

Similar Documents

Publication Publication Date Title
CN111954921A (en) Carbon hardmask for patterning applications and associated methods
US20230220551A1 (en) Pulsed plasma (dc/rf) deposition of high quality c films for patterning
JP5265100B2 (en) How to open a carbon-based hard mask
KR101644732B1 (en) Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for finfet scheme
KR101880831B1 (en) Method for deep silicon etching using gas pulsing
US7056830B2 (en) Method for plasma etching a dielectric layer
US20070295455A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
KR100743873B1 (en) Techniques for improving etching in a plasma processing chamber
TW202004910A (en) Methods and systems for patterning of low aspect ratio stacks
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
JP7462626B2 (en) High density carbon films for patterning applications
US11810792B2 (en) Etching method and substrate processing apparatus
US11404263B2 (en) Deposition of low-stress carbon-containing layers
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
KR20200121238A (en) Substrate processing method and substrate processing apparatus
CN117321743A (en) Method and apparatus for processing substrate
TW202027225A (en) Methods of cleaning an oxide layer in a film stack to eliminate arcing during downstream processing

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination