CN111766597A - 调频连续波激光测距差拍信号测频方法及装置 - Google Patents

调频连续波激光测距差拍信号测频方法及装置 Download PDF

Info

Publication number
CN111766597A
CN111766597A CN202010653425.8A CN202010653425A CN111766597A CN 111766597 A CN111766597 A CN 111766597A CN 202010653425 A CN202010653425 A CN 202010653425A CN 111766597 A CN111766597 A CN 111766597A
Authority
CN
China
Prior art keywords
frequency
sequence
beat signal
continuous wave
sampling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010653425.8A
Other languages
English (en)
Other versions
CN111766597B (zh
Inventor
盖建新
姜志伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Wanyi Technology Co ltd
Original Assignee
Harbin University of Science and Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Harbin University of Science and Technology filed Critical Harbin University of Science and Technology
Priority to CN202010653425.8A priority Critical patent/CN111766597B/zh
Publication of CN111766597A publication Critical patent/CN111766597A/zh
Application granted granted Critical
Publication of CN111766597B publication Critical patent/CN111766597B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S17/00Systems using the reflection or reradiation of electromagnetic waves other than radio waves, e.g. lidar systems
    • G01S17/02Systems using the reflection of electromagnetic waves other than radio waves
    • G01S17/06Systems determining position data of a target
    • G01S17/08Systems determining position data of a target for measuring distance only
    • G01S17/32Systems determining position data of a target for measuring distance only using transmission of continuous waves, whether amplitude-, frequency-, or phase-modulated, or unmodulated
    • G01S17/36Systems determining position data of a target for measuring distance only using transmission of continuous waves, whether amplitude-, frequency-, or phase-modulated, or unmodulated with phase comparison between the received signal and the contemporaneously transmitted signal
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S7/00Details of systems according to groups G01S13/00, G01S15/00, G01S17/00
    • G01S7/48Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S17/00
    • G01S7/491Details of non-pulse systems
    • G01S7/4912Receivers
    • G01S7/4915Time delay measurement, e.g. operational details for pixel components; Phase measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • General Physics & Mathematics (AREA)
  • Radar, Positioning & Navigation (AREA)
  • Remote Sensing (AREA)
  • Electromagnetism (AREA)
  • Optical Radar Systems And Details Thereof (AREA)

Abstract

调频连续波激光测距差拍信号测频方法及装置,涉及调频连续波激光测距技术领域。本发明是为了解决传统的傅里叶变换无法满足大尺寸、高精度的测距环境要求的问题。本发明在对差拍信号进行频域带通滤波来降低噪声干扰;采用NCO IP核代替传统DDS技术,实时合成参数可灵活调整的波形序列;将频谱序列H(r)和
Figure DDA0002575834880000011
存入ROM模块中,用查找ROM表方法代替复杂计算,降低系统功耗和复杂程度;充分利用FPGA并行运算处理的特点,在一个扫频周期内,完成上扫频和下扫频测频的两级流水线信号处理工作。本发明适用于线性调频连续波激光测距领域,进行精确检测。

Description

调频连续波激光测距差拍信号测频方法及装置
技术领域
本发明属于调频连续波激光测距技术领域。
背景技术
现阶段,线性调频连续波激光测距信号的处理一般都是在频域内进行的,其工作原理为:激光器发射线性调频连续波到被测物体,电磁波到达物体后,会产生回波信号,此时发射信号和回波信号有了一定的时间延迟,回波信号在接收端与发射信号通过干涉得到差拍信号,距离信息就包含在差拍信号里;然后通过A/D转换器采集差拍信号的信息,对A/D转换器转换之后的数据进行离散傅里叶变换来获得其频率信息,进而可得到距离信息。
由于进行离散傅里叶变换不可避免会出现频谱泄露和栅栏效应,传统的傅里叶变换根本无法满足大尺寸、高精度的测距环境要求。直接增加傅里叶运算的点数,可以实现测频精度的提高,但是其硬件成本和处理时间会极大增加,并且在信噪比较低的情况下,提高傅里叶运算点数的方法将失去效果。
发明内容
本发明是为了解决传统的傅里叶变换无法满足大尺寸、高精度的测距环境要求;而增加傅里叶运算的点数,还会使处理时间会极大增加;且在信噪比较低的情况下,提高傅里叶运算点数的方法将失效的问题,现提供调频连续波激光测距差拍信号测频方法及装置。
调频连续波激光测距差拍信号测频方法,包括以下步骤:
步骤一:对调频连续波激光雷达差拍信号进行采样,获得差拍信号采样序列;
步骤二:对差拍信号采样序列进行离散傅里叶变换计算,获得上、下扫频粗测频谱峰值处对应的频率和频域序列,对上、下扫频频域序列依次进行带通滤波和离散傅里叶逆变换,获得待细化的上、下扫频时序序列;
步骤三:对待细化的上、下扫频时序序列进行局部抽样细化,获得上、下扫频细测频谱峰值处对应的频率,完成调频连续波激光测距差拍信号测频。
上述步骤一中,利用模数转换器对调频连续波激光雷达差拍信号u(t)进行采样,获得差拍信号采样序列us(n),
Figure BDA0002575834860000011
其中,
Figure BDA0002575834860000012
为待测差拍信号频率,fs为模数转换器采样率,A为幅值、n为us(n)的序列号、δ0为初始相位。
上述步骤二中获得粗测频谱峰值处对应的频率的具体方法为:
对差拍信号采样序列us(n)进行N点快速离散傅里叶变换,获得频域序列
Figure BDA0002575834860000021
根据频谱峰值
Figure BDA0002575834860000022
获得峰值谱线序号km,km为上扫频峰值谱线序号km_a或下扫频峰值谱线序号km_b
根据峰值谱线序号km计算粗测频谱峰值处对应的频率fm
Figure BDA0002575834860000023
上述对频域序列
Figure BDA0002575834860000024
进行带通滤波的方法为:
保留
Figure BDA0002575834860000025
Figure BDA0002575834860000026
使剩余的频域值均为0,获得滤波后的频域序列
Figure BDA0002575834860000027
对上述滤波后的频域序列进行离散傅里叶逆变换,获得待细化的时序序列
Figure BDA0002575834860000028
上述步骤三中获得上、下扫频细测频谱峰值处对应的频率的具体方法为:
S31:根据粗测频谱峰值处对应的频率fm获得相应的真实频率区间delta:
Figure BDA0002575834860000029
其中,
Figure BDA00025758348600000210
S32:根据真实频率区间delta和细化频率f1分别获得抽样起点
Figure BDA00025758348600000211
和抽样间隔
Figure BDA00025758348600000212
其中,k为抽样序号;
S33:根据抽样起点
Figure BDA00025758348600000213
抽样间隔
Figure BDA00025758348600000214
相位增量φINC和频率调制增量φFM并利用NCO IP核产生参数可调的波形序列
Figure BDA00025758348600000215
将该波形序列与待细化的时序序列
Figure BDA0002575834860000031
相乘,获得
Figure BDA0002575834860000032
S34:对
Figure BDA0002575834860000033
进行快速离散傅里叶变换,获得
Figure BDA0002575834860000034
S35:查找ROM表读取
Figure BDA0002575834860000035
的频谱序列H(r),将H(r)和
Figure BDA0002575834860000036
进行复数乘法运算获得
Figure BDA0002575834860000037
上述ROM表中包括已经预设好的h(n)的频谱序列H(r)和序列
Figure BDA0002575834860000038
S36:对
Figure BDA0002575834860000039
做离散傅里叶逆变换获得
Figure BDA00025758348600000310
Figure BDA00025758348600000311
Figure BDA00025758348600000312
相乘获得局部抽样序列
Figure BDA00025758348600000313
计算局部抽样序列
Figure BDA00025758348600000314
中频谱峰值处对应的谱线序号
Figure BDA00025758348600000315
根据
Figure BDA00025758348600000316
计算获得细测频谱峰值处对应的频率
Figure BDA00025758348600000317
Figure BDA00025758348600000318
进一步的,在S33后,在执行S34的同时还返回步骤一对下一组调频连续波激光雷达差拍信号进行采样。
调频连续波激光测距差拍信号测频装置,包括:数据采集电路、FPGA_U频率粗测单元、ARM处理器、FPGA_F1频谱细化单元和FPGA_F2频谱细化单元;
数据采集电路:用于对调频连续波激光雷达差拍信号进行采样、并获得差拍信号采样序列;
FPGA_U频率粗测单元:用于控制数据采集电路的采样时间,还用于对差拍信号采样序列进行离散傅里叶变换计算,获得上、下扫频粗测频谱峰值处对应的频率和频域序列,对上、下扫频频域序列依次进行带通滤波和离散傅里叶逆变换,获得待细化的上、下扫频时序序列;
ARM处理器:用于计算相位增量φINC和频率调制增量φFM,还用于驱动FPGA_U频率粗测单元、FPGA_F1频谱细化单元和FPGA_F2频谱细化单元启动;
FPGA_F1频谱细化单元和FPGA_F2频谱细化单元分别用于对上、下扫频时序序列进行局部抽样细化,获得上、下扫频细测频谱峰值处对应的频率。
上述FPGA_U频率粗测单元包括以下模块:
触发处理模块:用于根据ARM处理器的触发信号驱动FPGA_U频率粗测单元启动;
傅里叶变换模块:用于对差拍信号采样序列进行离散傅里叶变换计算,获得频谱峰值
Figure BDA00025758348600000319
和频域序列
Figure BDA00025758348600000320
频谱幅值计算模块:用于根据频谱峰值
Figure BDA0002575834860000041
获得峰值谱线号km
带通滤波模块:用于对频域序列
Figure BDA0002575834860000042
进行带通滤波;
傅里叶逆变换模块:用于对滤波后的频域序列进行离散傅里叶逆变换,获得待细化的时序序列
Figure BDA0002575834860000043
上述FPGA_F1频谱细化单元和FPGA_F2频谱细化单元的结构完全相同,均包括以下模块:
NCO模块:用于生成参数可调的波形序列
Figure BDA0002575834860000044
所述参数包括相位增量φINC和频率调制增量φFM
复数乘法器模块:用于将波形序列
Figure BDA0002575834860000045
与待细化的时序序列
Figure BDA0002575834860000046
相乘,获得
Figure BDA0002575834860000047
用于对H(r)和
Figure BDA0002575834860000048
进行复数乘法运算获得
Figure BDA0002575834860000049
用于将
Figure BDA00025758348600000410
Figure BDA00025758348600000411
相乘获得局部抽样序列
Figure BDA00025758348600000412
FFT模块:对
Figure BDA00025758348600000413
进行快速离散傅里叶变换,获得
Figure BDA00025758348600000414
ROM表模块:用于存储h(n)的频谱序列H(r)和序列
Figure BDA00025758348600000415
IFFT模块:用于对
Figure BDA00025758348600000416
做离散傅里叶逆变换获得
Figure BDA00025758348600000417
频谱幅值计算模块:用于计算局部抽样序列
Figure BDA00025758348600000418
中频谱峰值谱线号
Figure BDA00025758348600000419
根据
Figure BDA00025758348600000420
计算获得细测频谱峰值处对应的频率
Figure BDA00025758348600000421
本发明的有益效果为:
(1)相对于直接增加FFT点数和其它细化算法的测频方案,本发明减少了设计的复杂度和处理器的运算量,极大的提高了调频连续波激光雷达测距精度。经过实验验证,在对N点采样点进行传统傅里叶情况下,频率分辨为
Figure BDA00025758348600000422
经过傅里叶变换局部抽样频谱细化的方法,分辨率可以提高至
Figure BDA00025758348600000423
当测距范围为3m~45m时,可实现测距精度为500μm。
(2)本发明设计了两级流水线技术,在满足激光测距高精度需求的前提下,采用双FPGA芯片分别完成上扫频和下扫频差拍信号频谱细化工作,保证了系统在激光雷达一个扫频周期内完成上下扫频两次测频工作,将激光测距的速率提高了一倍,速度可达2000次/s。
(3)本发明提出用NCO IP核来产生
Figure BDA0002575834860000051
波形序列,相比于传统DDS技术合成此波形序列,其合成波形所需参数可灵活调整,输出的波形序列频谱纯度高,并且使存储资源呈指数减少,极大的降低了FPGA芯片在选型上的要求,系统易小型化,降低了系统成本。
附图说明
图1为具体实施方式一所述的调频连续波激光测距差拍信号测频方法的流程图;
图2为信号频谱粗测频谱图;
图3为信号频谱细化频谱图;
图4为基于FPGA的流水线测频方案示意图;
图5为基于FPGA的序列傅里叶变换局部抽样测频装置框图;
图6为第一级流水线频率粗测FPGA内部方案框图;
图7为第二级流水线频谱细化FPGA内部方案框图。
具体实施方式
传统傅里叶变换对信号进行频谱分析,其频谱序列为:
Figure BDA0002575834860000052
为了将频谱泄露和栅栏效应降到最低,对差拍信号的频率进行精准估计,则序列傅里叶变换局部抽样方法为:取
Figure BDA0002575834860000053
对序列傅里叶变换
Figure BDA0002575834860000054
进行抽样,其中θ0为抽样的起点,
Figure BDA0002575834860000055
为抽样间隔,k为抽样的序号,则可得抽样后序列的频谱为:
Figure BDA0002575834860000056
由于上述序列无法使用傅里叶变换运算,所以将其变换为可卷积运算的形式:
Figure BDA0002575834860000057
其中
Figure BDA0002575834860000058
具体实施方式一:参照图1至图3具体说明本实施方式,本实施方式所述的调频连续波激光测距差拍信号测频方法,包括以下步骤:
步骤一:利用模数转换器对调频连续波激光雷达差拍信号u(t)进行采样,获得差拍信号采样序列us(n),
Figure BDA0002575834860000061
其中,
Figure BDA0002575834860000062
为待测上扫频差拍信号频率或待测下扫频差拍信号频率,fs为模数转换器采样率,A为幅值、n为us(n)的序列号、δ0为初始相位。
步骤二:对差拍信号采样序列us(n)进行N点快速离散傅里叶变换,获得频域序列
Figure BDA0002575834860000063
并根据傅里叶变换频谱共轭对称的性质,从
Figure BDA0002575834860000064
点内寻找得到频谱峰值
Figure BDA0002575834860000065
和峰值谱线序号km,这里由于上、下扫频粗测频谱峰值的获得方法相同,因此km能够表示上扫频峰值谱线序号km_a或下扫频峰值谱线序号km_b
在ARM处理器中根据峰值谱线序号km计算粗测频谱峰值处对应的频率fm
Figure BDA0002575834860000066
其中
Figure BDA0002575834860000067
能够表示侧粗频率分辨率。
对频域序列
Figure BDA0002575834860000068
进行带通滤波,即:保留
Figure BDA0002575834860000069
Figure BDA00025758348600000610
使剩余的频域值均为0,获得滤波后的频域序列
Figure BDA00025758348600000611
然后对上述滤波后的频域序列进行离散傅里叶逆变换,获得待细化的时序序列
Figure BDA00025758348600000612
步骤三:分别对待细化的上、下扫频时序序列进行局部抽样细化,获得上、下扫频细测频谱峰值处对应的频率,完成调频连续波激光测距差拍信号测频,具体包括以下步骤:
S31:由于傅里叶变换不能避免频谱泄露的现象,此处考虑正负一个量化误差,真实差拍信号频率将落在谱线序号km-1与km+1所对应的频率f1与f2之间,则在ARM处理器中根据粗测频谱峰值处对应的频率fm计算相应的真实频率区间delta:
Figure BDA0002575834860000071
其中,
Figure BDA0002575834860000072
S32:根据真实频率区间delta和细化频率f1分别获得抽样起点
Figure BDA0002575834860000073
和抽样间隔
Figure BDA0002575834860000074
其中,k为抽样序号。
S33:由于每一次序列细化的频域抽样起点不同,所以需要产生参数可调整的
Figure BDA0002575834860000075
波形序列。而利用传统的直接数字式频率合成器技术合成该序列时,需要消耗大量存储资源,并且参数调整不灵活,实时性差。所以本实施方式中利用NCO IP核产生参数可调的波形序列
Figure BDA0002575834860000076
具体为:
在ARM处理器中计算数字控制振荡器所需的相位增量φINC,在用于频谱细化的FPGA中设计频率调制增量φFM。相位增量表达式为:
Figure BDA0002575834860000077
其中,P为NCO IP核内部相位累加器的精度;频率调制增量表达式为:
Figure BDA0002575834860000078
其中,q为调制的频率分辨率。
NCO IP核输出的正弦波为:
s(nT)=Asin[2π(f0+fFM)nT+φPMDITH],
其中,f0为未调制的输出频率,fFM为根据φFM得到的频率调制值,φPM为相位调制值,φDITH为内部抖动值,T为调制周期。
已知NCO IP核要产生的参数可调的波形序列为:
Figure BDA0002575834860000079
而NCO IP核内部仅由一个相位累加器组成,一个相位累加器无法产生上述波形序列,因此需要双相位累加器结构才可以产生
Figure BDA00025758348600000710
使φPM和φDITH均为0,根据抽样起点
Figure BDA0002575834860000081
抽样间隔
Figure BDA0002575834860000082
相位增量φINC和频率调制增量φFM,利用NCO IP核产生参数可调的波形序列
Figure BDA0002575834860000083
将该波形序列与待细化的时序序列
Figure BDA0002575834860000084
相乘,获得
Figure BDA0002575834860000085
S34:对
Figure BDA0002575834860000086
进行N点快速离散傅里叶变换,获得
Figure BDA0002575834860000087
S35:查找ROM表读取
Figure BDA0002575834860000088
的频谱序列H(r),将H(r)和
Figure BDA0002575834860000089
进行复数乘法运算获得
Figure BDA00025758348600000810
上述ROM表中包括已经预设好的h(n)的频谱序列H(r)和序列
Figure BDA00025758348600000811
S36:对
Figure BDA00025758348600000812
做离散傅里叶逆变换获得
Figure BDA00025758348600000813
Figure BDA00025758348600000814
Figure BDA00025758348600000815
相乘获得局部抽样序列
Figure BDA00025758348600000816
计算局部抽样序列
Figure BDA00025758348600000817
中频谱峰值处对应的谱线序号
Figure BDA00025758348600000818
根据
Figure BDA00025758348600000819
计算获得细测频谱峰值处对应的频率
Figure BDA00025758348600000820
Figure BDA00025758348600000821
其中
Figure BDA00025758348600000822
用于表示细化频率分辨率。
上述在S33后,即在执行S34的同时,还返回步骤一对下一组调频连续波激光雷达差拍信号进行采样,发送第一级流水线开始标志位给ARM处理器,触发开启第一级流水线的频率粗测工作,对下一组的调频连续波激光雷达差拍信号进行粗测,上下扫频输出间隔小于1ms,使测频速度提高至原来的两倍。
上述S35中,由于h(n)的频域值H(r)和序列
Figure BDA00025758348600000823
需要复杂计算和傅里叶变换运算才能得到,而产生H(r)和
Figure BDA00025758348600000824
时需要消耗大量的逻辑资源,导致系统功耗和计算量较大。为了降低系统设计复杂度和节省逻辑资源,本实施方式中采用查找ROM表的方式来代替复杂运算。即:根据
Figure BDA00025758348600000825
可知
Figure BDA00025758348600000826
和h(n)序列是固定值,所以用提前将h(n)的傅里叶变换序列H(r)和
Figure BDA00025758348600000827
的序列值计算出来,然后将序列存入ROM表中,最后通过查找ROM表的方式来获得上述序列。
本实施方式的优势在于:
在差拍信号信噪比方面,对差拍信号进行频域带通滤波来降低噪声干扰,提高了信号信噪比;在系统功耗、资源和复杂度方面,采用NCO IP核代替传统DDS技术,实时合成参数可灵活调整的波形序列,节省了大量存储资源;将频谱序列H(r)和
Figure BDA0002575834860000091
存入ROM模块中,用查找ROM表方法代替复杂计算,降低系统功耗和复杂程度;在系统速度方面,充分利用FPGA并行运算处理的特点,在一个扫频周期内,完成上扫频和下扫频测频的两级流水线信号处理工作,极大的提高了系统测频速度。
具体实施方式二:参照图4至图7具体说明本实施方式,本实施方式所述的调频连续波激光测距差拍信号测频装置,充分利用FPGA并行运算特点,由FPGA做信号的采集和算法的实现,由ARM处理器实现整个系统的控制,得到的测频结果由网络通信电路传送至上位机。具体的,所述调频连续波激光测距差拍信号测频装置包括:信号调理电路、数据采集电路、外触发电路、FPGA_U频率粗测单元、ARM处理器、网络通信电路、FPGA_F1频谱细化单元和FPGA_F2频谱细化单元。
信号调理电路:采用抗混叠滤波器对差拍信号进行滤波,减少高频信号带来的影响;对信号进行单端信号转差分信号,提高信号抗干扰能力。
数据采集电路:用于对调频连续波激光雷达差拍信号进行上下扫频采样、并获得差拍信号采样序列。
外触发电路:用于提供稳定的触发信息至FPGA_U频率粗测单元,使FPGA_U频率粗测单元能够精准控制A/D信号采集时间。
FPGA_U频率粗测单元:用于控制数据采集电路的采样时间,还用于对差拍信号采样序列进行离散傅里叶变换计算,获得上、下扫频粗测频谱峰值处对应的频率和频域序列,对上、下扫频频域序列依次进行带通滤波和离散傅里叶逆变换,获得待细化的上、下扫频时序序列。
ARM处理器:用于计算相位增量φINC和频率调制增量φFM,还用于驱动FPGA_U频率粗测单元、FPGA_F1频谱细化单元和FPGA_F2频谱细化单元启动。
FPGA_F1频谱细化单元和FPGA_F2频谱细化单元分别用于对上、下扫频时序序列进行局部抽样细化,获得上、下扫频细测频谱峰值处对应的频率。
网络通信电路:用于实现ARM处理器与以太网之间的数据通信,可以实时将ARM处理器传输进来的数据送至上位机。
进一步的,上述FPGA_U频率粗测单元包括以下模块:
触发处理模块:用于根据ARM处理器的触发信号驱动FPGA_U频率粗测单元启动;
傅里叶变换模块:用于对差拍信号采样序列进行离散傅里叶变换计算,获得频谱峰值
Figure BDA0002575834860000101
和频域序列
Figure BDA0002575834860000102
频谱幅值计算模块:用于根据频谱峰值
Figure BDA0002575834860000103
获得峰值谱线号km
带通滤波模块:用于对频域序列
Figure BDA0002575834860000104
进行带通滤波;
傅里叶逆变换模块:用于对滤波后的频域序列进行离散傅里叶逆变换,获得待细化的时序序列
Figure BDA0002575834860000105
进一步的,上述FPGA_F1频谱细化单元和FPGA_F2频谱细化单元的结构完全相同,均包括以下模块:
NCO模块:用于生成参数可调的波形序列
Figure BDA0002575834860000106
所述参数包括相位增量φINC和频率调制增量φFM
复数乘法器模块:用于将波形序列
Figure BDA0002575834860000107
与待细化的时序序列
Figure BDA0002575834860000108
相乘,获得
Figure BDA0002575834860000109
用于对H(r)和
Figure BDA00025758348600001010
进行复数乘法运算获得
Figure BDA00025758348600001011
用于将
Figure BDA00025758348600001012
Figure BDA00025758348600001013
相乘获得局部抽样序列
Figure BDA00025758348600001014
FFT模块:对
Figure BDA00025758348600001015
进行快速离散傅里叶变换,获得
Figure BDA00025758348600001016
ROM表模块:用于存储h(n)的频谱序列H(r)和序列
Figure BDA00025758348600001017
IFFT模块:用于对
Figure BDA00025758348600001018
做离散傅里叶逆变换获得
Figure BDA00025758348600001019
频谱幅值计算模块:用于计算局部抽样序列
Figure BDA00025758348600001020
中频谱峰值谱线号
Figure BDA00025758348600001021
根据
Figure BDA00025758348600001022
计算获得细测频谱峰值处对应的频率
Figure BDA00025758348600001023
本实施方式实现了对信号频谱进行局部细化。根据调频连续波激光测距信号的差拍信号为单频窄带信号,信号频率较高,且常常伴有低频噪声干扰,采用傅里叶变换局部精细化抽样方法对差拍信号进行窄带频谱分析,可以实现对差拍信号频率精准估计,复杂度和计算量相对较小。

Claims (10)

1.调频连续波激光测距差拍信号测频方法,其特征在于,包括以下步骤:
步骤一:对调频连续波激光雷达差拍信号进行采样,获得差拍信号采样序列;
步骤二:对差拍信号采样序列进行离散傅里叶变换计算,获得上、下扫频粗测频谱峰值处对应的频率和频域序列,对上、下扫频频域序列依次进行带通滤波和离散傅里叶逆变换,获得待细化的上、下扫频时序序列;
步骤三:对待细化的上、下扫频时序序列进行局部抽样细化,获得上、下扫频细测频谱峰值处对应的频率,完成调频连续波激光测距差拍信号测频。
2.根据权利要求1所述的调频连续波激光测距差拍信号测频方法,其特征在于,步骤一中,利用模数转换器对调频连续波激光雷达差拍信号u(t)进行采样,获得差拍信号采样序列us(n),
Figure FDA0002575834850000011
其中,
Figure FDA0002575834850000012
为待测差拍信号频率,fs为模数转换器采样率,A为幅值、n为us(n)的序列号、δ0为初始相位。
3.根据权利要求2所述的调频连续波激光测距差拍信号测频方法,其特征在于,步骤二中获得粗测频谱峰值处对应的频率的具体方法为:
对差拍信号采样序列us(n)进行N点快速离散傅里叶变换,获得频域序列
Figure FDA0002575834850000013
根据频谱峰值
Figure FDA0002575834850000014
获得峰值谱线序号km,km为上扫频峰值谱线序号km_a或下扫频峰值谱线序号km_b
根据峰值谱线序号km计算粗测频谱峰值处对应的频率fm
Figure FDA0002575834850000015
4.根据权利要求3所述的调频连续波激光测距差拍信号测频方法,其特征在于,对频域序列
Figure FDA0002575834850000016
进行带通滤波的方法为:
保留
Figure FDA0002575834850000017
Figure FDA0002575834850000018
使剩余的频域值均为0,获得滤波后的频域序列
Figure FDA0002575834850000021
对上述滤波后的频域序列进行离散傅里叶逆变换,获得待细化的时序序列
Figure FDA0002575834850000022
5.根据权利要求3所述的调频连续波激光测距差拍信号测频方法,其特征在于,步骤三中获得上、下扫频细测频谱峰值处对应的频率的具体方法为:
S31:根据粗测频谱峰值处对应的频率fm获得相应的真实频率区间delta:
Figure FDA0002575834850000023
其中,
Figure FDA0002575834850000024
S32:根据真实频率区间delta和细化频率f1分别获得抽样起点
Figure FDA0002575834850000025
和抽样间隔
Figure FDA0002575834850000026
其中,k为抽样序号;
S33:根据抽样起点
Figure FDA0002575834850000027
抽样间隔
Figure FDA0002575834850000028
相位增量φINC和频率调制增量φFM并利用NCO IP核产生参数可调的波形序列
Figure FDA0002575834850000029
将该波形序列与待细化的时序序列
Figure FDA00025758348500000210
相乘,获得
Figure FDA00025758348500000211
S34:对
Figure FDA00025758348500000212
进行快速离散傅里叶变换,获得
Figure FDA00025758348500000213
S35:查找ROM表读取
Figure FDA00025758348500000214
的频谱序列H(r),将H(r)和
Figure FDA00025758348500000215
进行复数乘法运算获得
Figure FDA00025758348500000216
上述ROM表中包括已经预设好的h(n)的频谱序列H(r)和序列
Figure FDA00025758348500000217
S36:对
Figure FDA00025758348500000218
做离散傅里叶逆变换获得
Figure FDA00025758348500000219
Figure FDA00025758348500000220
Figure FDA00025758348500000221
相乘获得局部抽样序列
Figure FDA00025758348500000222
计算局部抽样序列
Figure FDA00025758348500000223
中频谱峰值处对应的谱线序号
Figure FDA00025758348500000224
根据
Figure FDA00025758348500000225
计算获得细测频谱峰值处对应的频率
Figure FDA00025758348500000226
Figure FDA00025758348500000227
6.根据权利要求5所述的调频连续波激光测距差拍信号测频方法,其特征在于,S33中,相位增量表达式为:
Figure FDA0002575834850000031
其中,P为NCO IP核内部相位累加器的精度;
频率调制增量表达式为:
Figure FDA0002575834850000032
其中,q为调制的频率分辨率。
7.根据权利要求5或6所述的调频连续波激光测距差拍信号测频方法,其特征在于,在S33后,在执行S34的同时还返回步骤一对下一组调频连续波激光雷达差拍信号进行采样。
8.调频连续波激光测距差拍信号测频装置,其特征在于,包括:数据采集电路、FPGA_U频率粗测单元、ARM处理器、FPGA_F1频谱细化单元和FPGA_F2频谱细化单元;
数据采集电路:用于对调频连续波激光雷达差拍信号进行采样、并获得差拍信号采样序列;
FPGA_U频率粗测单元:用于控制数据采集电路的采样时间,还用于对差拍信号采样序列进行离散傅里叶变换计算,获得上、下扫频粗测频谱峰值处对应的频率和频域序列,对上、下扫频频域序列依次进行带通滤波和离散傅里叶逆变换,获得待细化的上、下扫频时序序列;
ARM处理器:用于计算相位增量φINC和频率调制增量φFM,还用于驱动FPGA_U频率粗测单元、FPGA_F1频谱细化单元和FPGA_F2频谱细化单元启动;
FPGA_F1频谱细化单元和FPGA_F2频谱细化单元分别用于对上、下扫频时序序列进行局部抽样细化,获得上、下扫频细测频谱峰值处对应的频率。
9.根据权利要求8所述的调频连续波激光测距差拍信号测频装置,其特征在于,FPGA_U频率粗测单元包括以下模块:
触发处理模块:用于根据ARM处理器的触发信号驱动FPGA_U频率粗测单元启动;
傅里叶变换模块:用于对差拍信号采样序列进行离散傅里叶变换计算,获得频谱峰值
Figure FDA0002575834850000033
和频域序列
Figure FDA0002575834850000034
频谱幅值计算模块:用于根据频谱峰值
Figure FDA0002575834850000035
获得峰值谱线号km
带通滤波模块:用于对频域序列
Figure FDA0002575834850000041
进行带通滤波;
傅里叶逆变换模块:用于对滤波后的频域序列进行离散傅里叶逆变换,获得待细化的时序序列
Figure FDA0002575834850000042
10.根据权利要求8所述的调频连续波激光测距差拍信号测频装置,其特征在于,FPGA_F1频谱细化单元和FPGA_F2频谱细化单元的结构完全相同,均包括以下模块:
NCO模块:用于生成参数可调的波形序列
Figure FDA0002575834850000043
所述参数包括相位增量φINC和频率调制增量φFM
复数乘法器模块:用于将波形序列
Figure FDA0002575834850000044
与待细化的时序序列
Figure FDA0002575834850000045
相乘,获得
Figure FDA0002575834850000046
用于对H(r)和
Figure FDA0002575834850000047
进行复数乘法运算获得
Figure FDA0002575834850000048
用于将
Figure FDA0002575834850000049
Figure FDA00025758348500000410
相乘获得局部抽样序列
Figure FDA00025758348500000411
FFT模块:对
Figure FDA00025758348500000412
进行快速离散傅里叶变换,获得
Figure FDA00025758348500000413
ROM表模块:用于存储h(n)的频谱序列H(r)和序列
Figure FDA00025758348500000414
IFFT模块:用于对
Figure FDA00025758348500000415
做离散傅里叶逆变换获得
Figure FDA00025758348500000416
频谱幅值计算模块:用于计算局部抽样序列
Figure FDA00025758348500000417
中频谱峰值谱线号
Figure FDA00025758348500000418
根据
Figure FDA00025758348500000419
计算获得细测频谱峰值处对应的频率
Figure FDA00025758348500000420
CN202010653425.8A 2020-07-08 2020-07-08 调频连续波激光测距差拍信号测频方法及装置 Active CN111766597B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010653425.8A CN111766597B (zh) 2020-07-08 2020-07-08 调频连续波激光测距差拍信号测频方法及装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010653425.8A CN111766597B (zh) 2020-07-08 2020-07-08 调频连续波激光测距差拍信号测频方法及装置

Publications (2)

Publication Number Publication Date
CN111766597A true CN111766597A (zh) 2020-10-13
CN111766597B CN111766597B (zh) 2022-05-13

Family

ID=72726094

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010653425.8A Active CN111766597B (zh) 2020-07-08 2020-07-08 调频连续波激光测距差拍信号测频方法及装置

Country Status (1)

Country Link
CN (1) CN111766597B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112422257A (zh) * 2020-11-17 2021-02-26 上海道生物联技术有限公司 一种同步信号发送方法及系统
CN112432664A (zh) * 2020-11-11 2021-03-02 山东大学 一种隧道安全监测的全光纤激光检测系统与工作方法
CN113009430A (zh) * 2021-03-01 2021-06-22 西安电子科技大学 一种基于噪声卷积的雷达干扰的fpga实现方法及系统
CN113063327A (zh) * 2021-03-22 2021-07-02 贵州航天电子科技有限公司 一种全波采样的激光引信信号处理电路及信号处理方法
CN115342737A (zh) * 2022-09-06 2022-11-15 中国科学院长春光学精密机械与物理研究所 星间激光外差干涉信号多频率信息捕获系统及其捕获方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101458279A (zh) * 2008-12-09 2009-06-17 中国科学院国家授时中心 一种能提高精度的差拍法频率测量方法
CN102707275A (zh) * 2012-05-25 2012-10-03 北京航空航天大学 一种线性调频连续波雷达高度表的数字化处理方法
CN103064062A (zh) * 2011-10-24 2013-04-24 中国航天科工集团第二研究院二〇七所 一种基于宽带扫频数据的sar原始数据生成方法
CN104237896A (zh) * 2014-09-15 2014-12-24 西安电子科技大学 一种基于欠采样解模糊的雷达测距方法
WO2017059961A1 (en) * 2015-09-03 2017-04-13 Thierry Kerber Remote sensing by periodically inhibited pulse signals
CN107783132A (zh) * 2016-08-25 2018-03-09 大连楼兰科技股份有限公司 自动驾驶汽车防撞毫米波雷达系统及信号处理方法
CN111123286A (zh) * 2020-01-12 2020-05-08 哈尔滨理工大学 一种基于自校准全光纤多普勒电缆长度测试方法及装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101458279A (zh) * 2008-12-09 2009-06-17 中国科学院国家授时中心 一种能提高精度的差拍法频率测量方法
CN103064062A (zh) * 2011-10-24 2013-04-24 中国航天科工集团第二研究院二〇七所 一种基于宽带扫频数据的sar原始数据生成方法
CN102707275A (zh) * 2012-05-25 2012-10-03 北京航空航天大学 一种线性调频连续波雷达高度表的数字化处理方法
CN104237896A (zh) * 2014-09-15 2014-12-24 西安电子科技大学 一种基于欠采样解模糊的雷达测距方法
WO2017059961A1 (en) * 2015-09-03 2017-04-13 Thierry Kerber Remote sensing by periodically inhibited pulse signals
CN107783132A (zh) * 2016-08-25 2018-03-09 大连楼兰科技股份有限公司 自动驾驶汽车防撞毫米波雷达系统及信号处理方法
CN111123286A (zh) * 2020-01-12 2020-05-08 哈尔滨理工大学 一种基于自校准全光纤多普勒电缆长度测试方法及装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
杜昊辰: "调频式激光测距差拍信号欠采样频率估计系统设计", 《中国优秀硕士学位论文全文数据库》 *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112432664A (zh) * 2020-11-11 2021-03-02 山东大学 一种隧道安全监测的全光纤激光检测系统与工作方法
CN112422257A (zh) * 2020-11-17 2021-02-26 上海道生物联技术有限公司 一种同步信号发送方法及系统
CN113009430A (zh) * 2021-03-01 2021-06-22 西安电子科技大学 一种基于噪声卷积的雷达干扰的fpga实现方法及系统
CN113009430B (zh) * 2021-03-01 2023-06-30 西安电子科技大学 一种基于噪声卷积的雷达干扰的fpga实现方法及系统
CN113063327A (zh) * 2021-03-22 2021-07-02 贵州航天电子科技有限公司 一种全波采样的激光引信信号处理电路及信号处理方法
CN115342737A (zh) * 2022-09-06 2022-11-15 中国科学院长春光学精密机械与物理研究所 星间激光外差干涉信号多频率信息捕获系统及其捕获方法
CN115342737B (zh) * 2022-09-06 2024-04-16 中国科学院长春光学精密机械与物理研究所 星间激光外差干涉信号多频率信息捕获系统及其捕获方法

Also Published As

Publication number Publication date
CN111766597B (zh) 2022-05-13

Similar Documents

Publication Publication Date Title
CN111766597B (zh) 调频连续波激光测距差拍信号测频方法及装置
CN108414966B (zh) 一种基于时间调制的宽带线性调频信号测向系统及方法
CN103067104B (zh) 基于数字本振对射频信号高速扫频频谱测量的系统及方法
US20030117311A1 (en) Doppler radar apparatus
CN103675780B (zh) 一种用于Ku波段全相参的雷达目标模拟器
CN103472454A (zh) 相位式测距仪的数字信号处理系统
CN103630888A (zh) 基于对称三角lfmcw雷达的高精度实时微波测速测距装置
CN103490754B (zh) 一种大时间带宽积的超声信号及其脉冲压缩方法及系统
CN101292880B (zh) 超声波诊断装置
CN103560769B (zh) 一种任意波形发生器及任意波形产生方法
JP6864112B2 (ja) 電磁波を用いたコンクリートの構造の探査
CN111551925B (zh) 基于fft的脉间频率捷变雷达的目标速度估计方法
CN109581347B (zh) 一种雷达精细化测距方法
CN101190136A (zh) 实时产生滤波器系数的方法和装置
CN105675126A (zh) 一种用于检测多频多源复杂稳定声场声压的新方法
CN111693136B (zh) 一种采用回波信号自相关相位谱的声表面波谐振器频率估计算法
CN113281733A (zh) 一种基于zynq的雷达测速测距系统及方法
CN205670191U (zh) 一种激光测风雷达的回波信号采集装置
CN110927686A (zh) 线性调频信号的调制频偏标测装置
CN110995260A (zh) 基于线性调频信号的频偏误差控制系统
Xiong et al. High-precision frequency estimation for FMCW radar applications based on parameterized de-alternating and modified ICCD
CN105784103A (zh) 一种基于非线性调频激励的变信噪比的频率特性测量方法
CN102072987A (zh) 短区间正弦信号的相位估计法及其实验装置
CN112630735A (zh) 一种脉冲线性调频信号的多普勒频移产生方法及装置
CN101882921B (zh) 一键测试数字环路滤波器环路带宽的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20220809

Address after: 100096 room x307, third floor 101, building 1-6, courtyard 16, Huilongguan West Street, Changping District, Beijing

Patentee after: Beijing Wanyi Technology Co.,Ltd.

Address before: 150080 No. 52, Xuefu Road, Nangang District, Heilongjiang, Harbin

Patentee before: HARBIN University OF SCIENCE AND TECHNOLOGY