CN111736434A - 检查衬底的方法、量测设备和光刻系统 - Google Patents

检查衬底的方法、量测设备和光刻系统 Download PDF

Info

Publication number
CN111736434A
CN111736434A CN202010697550.9A CN202010697550A CN111736434A CN 111736434 A CN111736434 A CN 111736434A CN 202010697550 A CN202010697550 A CN 202010697550A CN 111736434 A CN111736434 A CN 111736434A
Authority
CN
China
Prior art keywords
target
pattern
scattered radiation
detector
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010697550.9A
Other languages
English (en)
Inventor
T·W·图克
A·辛格
G·范德祖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN111736434A publication Critical patent/CN111736434A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02016Interferometers characterised by the beam path configuration contacting two or more objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70941Stray fields and charges, e.g. stray light, scattered light, flare, transmission loss
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks

Abstract

公开了检查衬底的方法、量测设备和光刻系统。在一种布置中,检查衬底。由辐射源发射的源辐射光束被分成测量光束和参考光束。用测量光束照射第一目标,第一目标在衬底上。用参考光束照射第二目标,第二目标与衬底分离。从第一目标收集第一散射辐射并将其递送到检测器。从第二目标收集第二散射辐射并将其递送到检测器。在检测器处第一散射辐射与第二散射辐射干涉。第一目标包括第一图案。第二目标包括第二图案或第二图案的光瞳平面图像。第一图案在几何上与第二图案相同,第一图案和第二图案是周期性的并且第一图案的节距与第二图案的节距相同,或两者兼而有之。

Description

检查衬底的方法、量测设备和光刻系统
本案为申请日为2017年9月28日、申请号为201780063311.4、题为“检查衬底的方法、量测设备和光刻系统”的发明专利申请的分案申请。
相关申请的交叉引用
本申请要求于2016年10月14日提交的EP申请16193944.2的优先权,该申请通过引用整体并入本文。
技术领域
本发明涉及检查衬底的方法、量测设备和光刻系统。
背景技术
光刻工艺是一种将所期望的图案施加到衬底上(通常施加到衬底的目标部分上)的工艺。例如,可以在集成电路(IC)的制造中使用光刻设备。在这种情况下,可以使用图案化器件(其可替代地被称为掩模或掩模版)来生成要在IC的个体层上形成的电路图案。可以将该图案转移到衬底(例如,硅晶片)上的目标部分(例如,包括裸片的一部分、一个裸片或若干裸片)上。图案的转移通常经由在设置于衬底上的辐射敏感材料(抗蚀剂)层上的成像。可以涉及步进和/或扫描运动,以在衬底上的连续目标部分处重复图案。通过将图案压印到衬底上而将图案从图案化器件转移到衬底也是可能的。
在光刻工艺中,经常期望进行对所创建的结构的测量,例如用于工艺控制和验证。用于进行这样的测量的各种工具是已知的,包括常常用来测量临界尺寸(CD)的扫描电子显微镜,和用以测量光刻设备的散焦和套刻(在不同图案化步骤中形成的图案之间(例如在器件中的两个层之间)的对准的准确度)的专用工具。近年来,已开发出各种形式的散射仪以用于在光刻领域中使用。这些器件将辐射的光束引导到目标上并测量所散射的辐射的一个或多个性质——例如,在根据波长变化的单个反射角度处的强度;在根据反射角度变化的一个或多个波长处的强度;或者根据反射角度变化的偏振——以获得可以从其确定目标的感兴趣的性质的“光谱”。感兴趣的性质的确定可以通过各种技术来执行:例如,通过诸如严格耦合波分析或有限元法等的迭代途径进行的目标结构的重建;库搜索;和主成分分析。
用于确定结构参数的方法和设备例如在WO20120126718中公开。方法和散射仪也在US20110027704A1、US2006033921A1和US2010201963A1中公开。由这种散射仪使用的目标是相对较大的光栅,例如40μm×40μm的光栅,并且测量光束生成小于光栅的照射斑点(即,光栅欠充满)。除了用以确定在一个图案化步骤中制作的结构的参数的散射测量法之外,可以应用该方法和设备来执行基于衍射的套刻测量。
使用衍射级的暗场图像检测的基于衍射的套刻量测使得能够在较小的目标上进行套刻测量。这些目标可以小于照射斑点并且可以被晶片上的产品结构包围。在一个图像中可以测量多个目标。暗场成像量测的示例可以在国际专利申请US2010328655A1和US2011069292A1中找到,所述文献通过引用整体并入本文。该技术的进一步发展已在公开的专利公开US20110027704A、US20110043791A、US20120044470A、US20120123581A、US20130258310A、US20130271740A和WO2013178422A1中进行了描述。上述文献一般性地描述了通过测量目标的非对称性来进行套刻的测量。使用不对称性测量来测量光刻设备的剂量和焦点的方法分别在文献WO2014082938A1和US2014/0139814A1中公开。所有提到的申请的内容也通过引用并入本文。
杂散辐射会降低量测中使用的光学测量的质量,并且扩展而言还降低了准确度。准确度的这种降低会降低光刻设备的精度,从而负面地影响由该设备所产生的组件的精度,特别是在检测到的辐射强度非常低的系统中。
量测设备中的一个杂散辐射源是来自作为光学系统一部分的组件的表面的不希望的反射,例如来自光学组件(诸如反射镜或孔径光阑)的表面的微小缺陷,以及从诸如透镜的光学表面离开的多次反射。这在诸如在包含大量透镜的光刻设备中使用的复杂透镜系统中尤其成问题。在这样的系统中,即使一小部分反射的杂散辐射也可能复合成杂散辐射和光学噪声的显著来源。杂散辐射的另一个来源是从不是光学系统一部分的设备的内表面反射的辐射,例如来自为了其他目的也被安置在量测设备内的其他光学系统。杂散辐射的又一个来源是从衬底的除测量目标本身之外的部分(例如衬底上的附近组件)反射离开的辐射。然而,杂散辐射的再一个来源是系统内的外来颗粒,诸如漂浮在设备内或光学表面上的微小灰尘颗粒。
可以将杂散辐射大致分类为两种类型:
a.定向杂散辐射,其例如是由辐射从是光学系统的一部分或不是光学系统的一部分的各种表面多次反射而引起的。例如,来自光学系统中的玻璃表面(诸如用来使光束成形的透镜)的杂散辐射反射,可以被认为是定向杂散辐射。
b.非定向杂散辐射,其是由设备内部的外来颗粒如灰尘或者由粗糙表面随机散射的辐射。
传统上,通过使用合适的抗反射涂层以及在系统的光学路径中的适当位置处使用孔径和光阑来减少光学系统中的杂散辐射。然而,抗反射涂层可能仅减少杂散辐射的量,而不是完全去除它。在诸如在光刻设备中使用的透镜系统之类的复杂光学系统中,杂散辐射从每个透镜表面反射离开的复合效应可能是显著的。此外,如上所述,孔径光阑本身可能是杂散辐射的来源,因为例如由于在它们的制造工艺中的缺陷或者由于已经被损坏而在它们的表面中有很小的缺陷。
从衬底上不是预期量测目标(例如产品结构)一部分的结构的散射也可能对噪声有显著贡献。
发明内容
期望提供即使在存在杂散辐射和其他噪声源的情况下也能够准确且可靠地检查衬底的方法和设备。
根据本发明的一个方面,提供了一种检查衬底的方法,所述方法包括:将由辐射源发射的源辐射光束分成测量光束和参考光束;用所述测量光束照射第一目标,所述第一目标在衬底上;用所述参考光束照射第二目标,所述第二目标与所述衬底分离;从所述第一目标收集第一散射辐射并将所述第一散射辐射递送给检测器;从所述第二目标收集第二散射辐射并将所述第二散射辐射递送给所述检测器,其中:在所述检测器处所述第一散射辐射与第二散射辐射干涉;所述第一目标包括第一图案;所述第二目标包括第二图案或所述第二图案的光瞳平面图像;并且所述第一图案在几何上与所述第二图案相同,或者所述第一图案和所述第二图案是周期性的并且所述第一图案的节距与所述第二图案的节距相同。
根据本发明的一个方面,提供了一种用于检查衬底的量测设备,该量测设备包括:辐射源,所述辐射源被配置为提供源辐射光束;分束器,所述分束器被配置为将所述源辐射光束分成测量光束和参考光束;以及光学系统,所述光学系统被配置为:用所述测量光束照射第一目标,所述第一目标在所述衬底上;用所述参考光束照射第二目标,所述第二目标与所述衬底分离;从所述第一目标收集第一散射辐射,并将所述第一散射辐射递送给检测器;从所述第二目标收集第二散射辐射,并将所述第二散射辐射递送给所述检测器,其中:所述光学系统被配置为使得以这样的方式将所述第二散射辐射递送传递到检测器,以便在所述检测器处与所述第一散射辐射干涉;所述第一目标包括第一图案;所述第二目标包括第二图案或所述第二图案的光瞳平面图像;并且所述第一图案在几何上与所述第二图案相同,或者所述第一图案和所述第二图案是周期性的并且所述第一图案的节距与所述第二图案的节距相同。
应当注意,如上所述以及在下文中所述的本发明的实施例适用于在其中执行光学测量的任何设备。特别地,实施例适用于形成光刻工艺的一部分的任何方法或设备,其中对目标(例如,诸如衍射光栅之类的周期性目标)执行光学测量。示例性应用包括但不限于:测量量测设备(其也可以被称为检查设备)中的套刻;焦点控制;临界尺寸(CD)的测量;或测量目标的形状(诸如侧壁角度(SWA)或底部倾斜)。在示例性应用中,所确定的参数包括但不限于:套刻、CD、焦点、SWA或底部倾斜。
通过考虑下面的示例的详细描述,本发明的这些和进一步的特征和优点对于技术人员将是显而易见的。
附图说明
现在将仅通过示例的方式参考所附示意图描述本发明的实施例,其中对应的参考标记指示对应的部分,并且其中:
图1描绘了光刻设备;
图2描绘了光刻单元或簇;
图3包括(a)用于在使用第一对照射孔径来测量目标中使用的暗场散射仪的示意图;(b)对于给定照射方向的目标光栅的衍射光谱的细节;(c)对已知形式的多光栅目标的描绘和对衬底上的测量斑点的概述;(d)在图3(a)的散射仪中获得的图3(c)的目标图像的描绘;
图4描绘了根据实施例的量测设备;以及
图5图示出了相位调制信号的锁定处理。
具体实施方式
本说明书公开了并入了本发明的特征的一个或多个实施例。所公开的(一个或多个)实施例仅举例说明了本发明。本发明的范围不限于所公开的实施例。本发明由所附权利要求限定。
所描述的(一个或多个)实施例以及说明书中对“一个实施例”、“实施例”、“示例实施例”等的引用指示所描述的(一个或多个)实施例可以包括特定特征、结构或特性,但是每个实施例可以不必包括该特定特征、结构或特性。而且,这些短语不一定是指同一个实施例。此外,当结合实施例描述特定特征、结构或特性时,应理解,无论是否被明确描述,结合其他实施例来实现这样的特征、结构或特性是在本领域技术人员的知识内的。
然而,在更详细地描述这些实施例之前,提供在其中可以实现本发明的实施例的示例环境是有益的。
图1示意性地描绘了光刻设备LA。该设备包括:被配置成调节辐射光束B(例如,UV辐射或DUV辐射)的照射系统(照射器)IL;被构造成支撑图案化器件(例如,掩模)MA并连接到第一定位器PM的支撑结构(例如,掩模台)MT,所述第一定位器PM被配置为根据某些参数准确地定位图案化器件;被构造成保持衬底(例如,抗蚀剂涂覆的晶片)W并连接到第二定位器PW的衬底台(例如,晶片台)WT,所述第二定位器PW被配置为根据某些参数准确地定位衬底;以及投影系统(例如,折射投影透镜系统)PS,其被配置为将通过图案化器件MA施加到辐射光束B的图案投影到衬底W的目标部分C(例如,包括一个或多个裸片)上。
照射系统可以包括各种类型的光学组件,诸如折射、反射、磁、电磁、静电或其他类型的光学组件、或其任何组合,用于引导、成形或控制辐射。
支撑结构支撑即承载图案化器件的重量。支撑结构以取决于图案化器件的取向、光刻设备的设计和诸如例如图案化器件是否被保持在真空环境中之类的其他条件的方式来保持图案化器件。支撑结构可以使用机械、真空、静电或其他夹持技术来保持图案化器件。例如,支撑结构可以是框架或台面,其可以根据需要是固定的或可移动的。支撑结构可以确保图案化器件例如相对于投影系统而处于期望的位置处。本文中对术语“掩模版”或“掩模”的任何使用可以被认为与更通用的术语“图案化器件”同义。
本文使用的术语“图案化器件”应该被广义地解释为是指可以被用来在其横截面中向辐射光束赋予图案以便在衬底的目标部分中产生图案的任何器件。应当注意,赋予辐射光束的图案可能不完全对应于衬底的目标部分中的期望图案——例如如果图案包括相移特征或所谓的辅助特征。通常,赋予辐射光束的图案将对应于在诸如集成电路之类的目标部分中创建的器件中的特定功能层。
图案化器件可以是透射的或反射的。图案化器件的示例包括掩模、可编程反射镜阵列和可编程LCD面板。掩模在光刻中是众所周知的,并且包括诸如二进制、交替相移和衰减相移之类的掩模类型以及各种混合掩模类型。可编程反射镜阵列的一个示例采用小反射镜的矩阵布置,每个小反射镜可以被单独倾斜,以便在不同方向上反射入射辐射光束。倾斜的反射镜在辐射光束中赋予图案,该图案由反射镜矩阵反射。
本文所使用的术语“投影系统”应该被广义地解释为涵盖任何类型的投影系统,包括折射、反射、反射折射、磁、电磁和静电光学系统或其任何组合,对于所使用的曝光辐射或者对于诸如使用浸没液体或使用真空之类的其他因素是适合的。本文中对术语“投影透镜”的任何使用可以被认为与更通用的术语“投影系统”同义。
在该实施例中,例如,该设备是透射型的(例如采用透射掩模)。可替代地,该设备可以是反射型的(例如采用如上所指类型的可编程反射镜阵列,或采用反射掩模)。
光刻设备可以是具有两个(双级)或更多个衬底台的类型,并且例如是两个或更多个掩模台。在这种“多级”机器中,可以并行地使用附加的台,或者可以在一个或多个台上执行制备步骤而同时使用一个或多个其他台进行曝光。
光刻设备也可以是这样一种类型,其中至少一部分衬底可以被具有相对高折射率的液体(例如,水)覆盖,以填充投影系统和衬底之间的空间。也可以将浸没液体应用于光刻设备中的其他空间,例如,在掩模和投影系统之间。浸没技术在本领域中是众所周知的,用于增加投影系统的数值孔径。本文所使用的术语“浸没”并不意味着诸如衬底的结构必须被沉没在液体中,而是仅意味着在曝光期间液体位于投影系统和衬底之间。
参考图1,照射器IL接收来自辐射源SO的辐射光束。例如当源是准分子激光器时,源和光刻设备可以是分开的实体。在这种情况下,源不被认为是形成光刻设备的一部分,并且辐射光束借助于光束递送系统BD而从源SO被传递到照射器IL,光束递送系统BD包括例如合适的导向镜和/或光束扩展器。在其他情况下,例如当源是汞灯时,源可以是光刻设备的集成部分。如果需要,源SO和照射器IL以及光束递送系统BD可以被称为辐射系统。
照射器IL可以包括调节器AD,用于调节辐射光束的角强度分布。通常,可以调节照射器的光瞳平面中的强度分布的至少外部和/或内部径向范围(通常分别被称为σ-外部和σ-内部)。另外,照射器IL可以包括各种其他组件,诸如积分器IN和聚光器CO。照射器可以被用来调节辐射光束,以在其横截面中具有期望的均匀性和强度分布。
将辐射光束B入射在被保持在支撑结构(例如,掩模台MT)上的图案化器件(例如,掩模MA)上,并且由图案化器件图案化。在穿越掩模MA之后,辐射光束B穿过投影系统PS,投影系统PS将光束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置传感器IF(例如,干涉测量器件、线性编码器、2-D编码器或电容传感器),衬底台WT可以准确地移动,例如以便将不同的目标部分C定位在辐射光束B的路径中。类似地,第一定位器PM和另一个位置传感器(图1中未明确描绘出)可以被用来相对于辐射光束B的路径而准确地定位掩模MA,例如在从掩模库中进行机械检索后或在扫描过程期间。通常,掩模台MT的移动可以借助于长行程模块(粗略定位)和短行程模块(精确定位)来实现,长行程模块和短行程模块形成第一定位器PM的一部分。类似地,可以使用长行程模块和短行程模块来实现衬底台WT的移动,所述长行程模块和短行程模块形成第二定位器PW的一部分。在步进器的情况下(与扫描器相反),掩模台MT可以仅连接到短行程致动器,或者可以是固定的。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准掩模MA和衬底W。尽管如图示的衬底对准标记占据专用目标部分,但是它们可以位于目标部分之间的空间中(这些被称为划线对准标记)。类似地,在掩模MA上提供多于一个裸片的情形中,掩模对准标记可以位于裸片之间。
所描绘的设备可以使用在以下模式中的至少一种模式中:
1.在步进模式中,掩模台MT和衬底台WT保持基本静止,同时将赋予辐射光束的整个图案一次投影到目标部分C上(即,单次静态曝光)。然后衬底台WT在X和/或Y方向上被偏移,从而可以曝光不同的目标部分C。在步进模式中,曝光场的最大大小限制了在单次静态曝光中成像的目标部分C的大小。
2.在扫描模式中,同步扫描掩模台MT和衬底台WT,同时将赋予辐射光束的图案投影到目标部分C上(即,单次动态曝光)。衬底台WT相对于掩模台MT的速度和方向可以由投影系统PS的(缩小)放大率和图像反转特性来确定。在扫描模式中,曝光场的最大大小限制单次动态曝光中目标部分的宽度(在非扫描方向上),而扫描运动的长度决定了目标部分的高度(在扫描方向上)。
3.在另一种模式中,掩模台MT保持基本静止,以保持可编程图案化器件,并且移动或扫描衬底台WT,同时将赋予辐射光束的图案投影到目标部分C上。在该模式中,通常采用脉冲辐射源,并且在扫描期间在衬底台WT的每次移动之后或者在连续的辐射脉冲之间根据需要更新可编程图案化器件。这种操作模式可以容易地应用于利用可编程图案化器件的无掩模光刻,例如上述类型的可编程反射镜阵列。
也可以采用上述使用模式的组合和/或变型或完全不同的使用模式。
如图2中所示,光刻设备LA形成光刻单元LC的一部分,光刻单元LC有时也被称为光刻单元或簇,其还包括在衬底上执行曝光前和曝光后工艺的设备。通常,这些包括用以沉积抗蚀剂层的旋涂器SC、用以显影曝光的抗蚀剂的显影器DE、冷却板CH和烘烤板BK。衬底操控器或机器人RO从输入/输出端口I/O1、I/O2拾取衬底,在不同的工艺设备之间移动它们,然后将它们递送到光刻设备的装载台LB。这些设备通常被统称为轨道,其由轨道控制单元TCU控制,轨道控制单元TCU本身由监督控制系统SCS控制,监督控制系统SCS还经由光刻控制单元LACU来控制光刻设备。因此,可以操作不同的设备以最大化吞吐量和处理效率。
为了使由光刻设备曝光的衬底正确且一致地曝光,期望检查曝光的衬底以测量诸如后续层之间的套刻误差、线厚度、临界尺寸(CD)等的性质。如果检测到错误,例如可以对后续衬底的曝光进行调节——特别是如果可以快速且足够快地进行检查以使相同批次的其他衬底仍然被曝光。而且,已曝光的衬底可以被剥离和重新加工以提高产出,或者可能被丢弃,从而避免在已知有缺陷的衬底上执行曝光。在衬底的仅仅一些目标部分有缺陷的情况下,可以仅对被认为是无缺陷的那些目标部分进行进一步的曝光。
量测设备(其也可以被称为检查设备)被用来确定衬底的性质,并且特别是确定不同衬底的性质或同一衬底的不同层的性质如何在层与层之间变化。量测设备可以被集成到光刻设备LA或光刻单元LC中,或者可以是独立设备。为了实现最快速的测量,期望量测设备在曝光之后立即测量已曝光的抗蚀剂层中的性质。然而,抗蚀剂中的潜像具有非常低的对比度,因为在已经曝光于辐射的抗蚀剂部分和没有曝光于辐射的部分之间仅存在非常小的折射率差异——并且并非所有量测设备都具有足够的灵敏度来对潜像进行有用的测量。因此,可以在曝光后烘烤步骤(PEB)之后进行测量,该PEB步骤通常是在曝光的衬底上进行的第一步骤并且增加了抗蚀剂的曝光部分和未曝光部分之间的对比度。在这个阶段,抗蚀剂中的图像可以被称为是半透明的。还可以测量显影的抗蚀剂图像——在此时已除去抗蚀剂的曝光或未曝光部分,或者在诸如蚀刻之类的图案转移步骤之后。后一种可能性限制了针对有缺陷衬底重新加工的可能性,但仍可提供有用的信息。
量测设备如图3(a)中所示。在图3(b)中更详细地图示出了目标T和用于照射目标的测量辐射的衍射线。所图示的量测设备是已知为暗场测量设备的类型。量测设备可以是单独的设备或被并入在光刻设备LA(例如在测量站处)或光刻单元LC中。光轴由虚线O表示,其具有若干贯穿设备的分支。在该设备中,由源(例如氙灯)发出的光借助于包括透镜12、14和物镜16的光学系统经由分束器15被引导到衬底W上。这些透镜被布置成4F布置的双序列。可以使用不同的透镜布置,只要这样的透镜布置仍然能够将衬底图像提供到检测器上并且同时允许访问中间光瞳平面以进行空间频率滤波即可。因此,辐射入射到衬底上的角度范围可以通过在一平面中定义表示衬底平面(在此称为(共轭)光瞳平面)的空间光谱的空间强度分布来选择。特别地,这可以通过将合适形式的孔径板13在作为物镜光瞳平面的后投影像的平面中插入到透镜12和14之间来完成。在所图示的示例中,孔径板13具有不同的形式,以13N和13S标记,允许选择不同的照射模式。在本示例中的照射系统形成离轴照射模式。在第一照射模式中,孔径板13N提供从标记为“N(北)”的方向(仅仅为了说明起见)的离轴照射。在第二照射模式中,孔径板13S被用来提供类似的照射,但是从标记为“S(南)”的相反方向。也可以通过使用不同的孔径来实现其它的照射模式。光瞳平面的其余部分期望是暗的,因为所期望的照射模式之外的任何非必要的辐射将干扰所期望的测量信号。
如图3(b)中所示,目标T和衬底W被放置成与物镜16的光轴O基本上正交。衬底W可以由支撑件(未示出)支撑。从离开轴O的一角度射在目标T上的测量辐射I的光线产生零阶光线(实线0)和两个一阶光线(点划线+1和双点划线-1)。应该记住,对于过填充的小目标T,这些光线只是覆盖包括量测目标T和其它特征的衬底的区域的许多平行光线之一。由于板13中的孔径具有有限的宽度(对于允许有用光量是必需的),入射光线I实际上将占据一角度范围,并且衍射光线0和+1/-1将稍微扩展。根据小目标的点扩散函数,每个阶+1和-1将进一步在一角度范围内扩展,而不是如图所示的单个理想光线。注意,目标的光栅节距和照射角度可以被设计或调节为使得进入物镜的一阶光线与中心光轴紧密对准。图3(a)和图3(b)中所图示的光线被示出为稍微偏离轴线,纯粹是为了使它们在图中更容易区分。
由衬底W上的目标T衍射的至少0阶和+1阶由物镜16收集并且通过分束器15被引导回来。返回图3(a),通过指定被标记为北(N)和南(S)的直径相对的孔径,示出了第一照射模式和第二照射模式。当测量辐射的入射光线I来自光轴的北侧时,也就是说,当使用孔径板13N施加第一照射模式时,被标记为+1(N)的+1衍射光线进入物镜16。相比之下,当使用孔径板13S施加第二照射模式时,-1衍射光线(标记为-1(S))是进入透镜16的光线。
第二分束器17将衍射光束分成两个测量分支。在第一测量分支中,光学系统18使用零阶和一阶衍射光束在第一传感器19(例如,CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射阶撞击传感器上的不同点,使得图像处理可以比较和对比各个阶。由传感器19捕获的光瞳平面图像可以被用于聚焦量测设备和/或归一化一阶光束的强度测量。光瞳平面图像也可以被用于很多测量目的,诸如重建。
在第二测量分支中,光学系统20、22在传感器23(例如,CCD或CMOS传感器)上形成目标T的图像。在第二测量分支中,孔径光阑21设置在与光瞳平面共轭的平面中。孔径光阑21用于阻挡零阶衍射光束,使得形成在传感器23上的目标的图像仅由-1或+1一阶光束形成。由传感器19和23捕获的图像被输出到处理图像的处理器PU,其功能将取决于正在执行的特定类型的测量。注意,术语“图像”在这里在广泛的意义上被使用。如果仅存在-1和+1阶中的一个,则不会形成这样的光栅线的图像。
图3所示的特定形式的孔径板13和视场光阑21仅仅是示例。在本发明的另一实施例中,使用目标的轴上照射并且使用具有离轴孔径的孔径光阑来将基本上仅一个一阶衍射光传递到传感器。在其他实施例中,代替一阶光束或除了一阶光束,可以使用二阶、三阶和更高阶光束(图3中未示出)进行测量。
为了使测量辐射适合于这些不同类型的测量,孔径板13可以包括形成在盘周围的多个孔径图案,盘旋转以使期望的图案就位。注意,孔径板13N或13S只能用于测量被定向在一个方向上(X或Y,取决于设置)的光栅。为了测量正交光栅,可以实现目标旋转90°和270°。在上面提到的先前公开的申请中描述了设备的这些以及很多其他变型和应用。
图3(c)描绘了根据已知实践在衬底上形成的(复合)目标。该示例中的目标包括被紧密地定位在一起的四个光栅25a至25d,使得它们将全部位于由量测设备的量测辐射照射光束形成的测量场景或测量斑点24内。因此,四个光栅全部被同时照射并同时成像在传感器19和23上。在专用于套刻测量的示例中,光栅25a至25d本身是由被图案化在形成于衬底W上的半导体器件的不同层中的套刻光栅形成的复合光栅。光栅25a至25d可以具有不同偏置的套刻偏移(层之间有意的不匹配),以便于测量在其中形成复合光栅的不同部分的层之间的套刻。这些技术是本领域技术人员公知的,将不再进一步描述。如所示,光栅25a至25d的取向也可以不同,以便在X和Y方向上衍射入射辐射。在一个示例中,光栅25a和25c是分别具有+d、-d的偏置的X方向光栅。光栅25b和25d是分别具有偏移+d和-d的Y方向光栅。可以在由传感器23捕获的图像中标识这些光栅的单独图像。这仅是目标的一个示例。目标可以包括多于或少于四个光栅,或仅包括单个光栅。
图3(d)示出了在图3(a)的设备中可以使用图3(c)的目标在传感器23上形成并由传感器23检测的图像的示例。虽然光瞳平面图像传感器19不能解析不同的个体光栅25a至25d,但是图像传感器23可以这样做。暗矩形表示图像在传感器上的场,在其中在衬底上的照射斑点24被成像到对应的圆形区域26中。在这之内,矩形区域27a到27d表示小目标光栅25a到25d的图像。如果目标位于产品区域中,则产品特征在此图像场的外围中也可能是可见的。图像处理器和控制器PU使用模式识别来处理这些图像,以标识光栅25a至25d的单独图像27a至27d。以这种方式,图像不必非常精确地对准在传感器框架内的特定位置处,这极大地提高了测量设备整体的吞吐量。
一旦已经标识了光栅的单独图像,就可以例如通过对所标识的区域内的所选择的像素强度值求平均或求和来测量这些单独图像的强度。可以将图像的强度和/或其他性质相互进行比较。可以组合这些结果以测量光刻工艺的不同参数。套刻性能是这种参数的重要示例。
量测设备的性能取决于其光学测量的质量。如在说明书的介绍部分中所描述的,光学测量的质量可能受到各种杂散辐射源的负面影响。杂散辐射的某些影响可以被称为重影。杂散辐射不期望地降低了信噪比。噪声也可能由于来自与量测目标相邻的产品结构的不希望的散射而产生,这可能进一步降低信噪比。
在一个实施例中,提供了一种使用量测设备检查衬底W的方法。该方法和量测设备通过抑制与杂散辐射、来自产品结构的散射和/或其他噪声源相关联的噪声来提高信噪比。
在图4中示意性地描绘了量测设备的实施例。照明辐射由虚线描绘。衍射辐射由实线描绘。该方法包括将由辐射源50发射的源光束131分成测量光束132和参考光束133。分光由分束器52执行。第一目标由测量光束132照射。在衬底W上提供第一目标。第二目标由参考光束133照射。第二目标与衬底W分离(即,不在衬底W上提供)。在一个实施例中,第二目标由空间光调制器90(SLM)提供。在一个实施例中,SLM90形成相位光栅。如将在下面描述的,第二目标需要提供与第一目标的图案匹配的图案。SLM能够灵活地为多个不同的第一目标提供这种匹配。因此,当需要测量不同的第一目标时,可以使用同一SLM 90来生成合适的第二目标。但是,第二目标不是必需由SLM提供。在其他实施例中,第二目标由固定结构提供。如果第一目标改变,则固定结构可以由包括适合于新的第一目标的第二目标的不同固定结构代替。
从第一目标收集第一散射辐射134并将其递送到检测器80。从第二目标收集第二散射辐射135并将其递送到检测器80。在检测器80处第一散射辐射与第二散射辐射干涉。检测器80检测由干涉导致的辐射强度。检测器80可以被配置为检测在图像平面中或在光瞳平面中由干涉导致的辐射强度。第一目标包括第一图案(例如诸如光栅之类的周期性结构或诸如适于测量临界尺寸CD的结构之类的非周期性结构)。第二目标包括第二图案或第二图案的光瞳平面图像。第一图案在几何上与第二图案相同,或者第一图案和第二图案是周期性的并且第一图案的节距与第二图案的节距相同,或者两者兼而有之。在第一图案和第二图案在几何上相同的情况下,第一图案中的每个形状将在第二图案中具有在形状、大小和取向上相同的对应形状,并且第一图案中的所有形状的相对位置将与第二图案中所有形状的相对位置相同。如果将第一图案套刻在第二图案上,则第一图案中的所有形状的边界线将与限定第二图案中的所有形状的边界线重合。
因此提供了一种布置,在该布置中来自同一源50的辐射被分开,以便独立地通过两个单独的光学分支传播。第一光学分支可以被称为衬底分支61,其包括衬底W和在衬底W上的第一目标。另一个光学分支可以被称为参考分支62,其包括第二目标(例如SLM)。衬底分支61和参考分支62被配置为以迈克尔逊(Michelson)干涉仪的方式操作。在一个实施例中,除了每个分支中的目标之外,参考分支62在光学上与衬底分支61相同:在衬底分支中,在衬底W上提供第一目标,而在参考分支中,第二目标是单独提供的,例如使用SLM来提供。衬底分支61可以包括物镜16,物镜16以与图3(a)中所示的物镜16类似的方式进行配置。在这种情况下,参考分支62继而将包括透镜56,其以与物镜16相对应的方式被构造和定位(在第二目标包括第二图案而不是第二图案的光瞳平面图像的情况下)。在一个实施例中,辐射源50包括与图3(a)的光源11、光学透镜12和14以及板13中的一个或多个相对应的组件。在这样的实施例中以及在其他实施例中,检测器80包括与图3的光学系统20、22、孔径光阑21和传感器23中的一个或多个相对应的组件。因此,可以使用经由图3(a)的第二测量分支的测量中涉及的组件来实现辐射源50、分束器52、衬底分支61和检测器80的组合。然而,与使用图3(a)的第二测量分支的标准实现通常可能的相比,添加参考分支62使得能够实现更高的信噪比。备选地或附加地,检测器80可以被配置为包括与图3(a)的光学系统18和第一传感器19相对应的组件,以便检测第一传感器19上的衍射光谱(光瞳平面图像)。因此,可以使用经由图3(a)的第一测量分支的测量中涉及的组件来实现辐射源50、分束器52、衬底分支61和检测器80的组合。然而,与使用图3(a)的第一测量分支的标准实现通常可能的相比,添加参考分支62使得能够实现更高的信噪比。
在一个实施例中,第二目标包括第二图案,并且相对于第一目标的、在第一目标和检测器80之间的第一散射辐射134的光路(特别是针对由辐射源提供的每个辐射频率从第一目标的路径长度)与相对于第二目标的、在第二目标和检测器80之间的第二散射辐射135的光路(特别是针对由辐射源提供的每个辐射频率从第二目标的路径长度)相同。在第二目标包括第二图案的光瞳平面图像的替代实施例中,相对于第一目标的光瞳平面图像的、在第一目标的光瞳平面图像和检测器80之间的第一散射辐射134的光路与相对于第二目标的、在第二目标和检测器80之间的第二散射辐射135的光路相同。在两种情况下,相同的光路确保对于通过系统的所有波长的辐射而言,来自衬底分支61的辐射和来自参考分支62的辐射之间发生干涉。对于不同的辐射波长,无论辐射是通过衬底分支61还是通过参考分支62传播,通过系统的总路径长度将是不同的。这是因为衍射角取决于辐射的波长,该衍射角确定给定衍射阶离开第一目标和第二目标的角度。然而,至少在衬底分支61中的光瞳平面图像和检测器80之间的、通过衬底分支61的路径长度将与至少在参考分支62中的光瞳平面图像和检测器80之间的、通过参考分支62的路径长度相同。这意味着即使在辐射源50具有大带宽的情况下,也会对所有波长的辐射发生干涉。
然而,干涉将仅在从第一图案散射的辐射与第二图案(或第二图案的光瞳平面图像)之间发生。只有这两个散射辐射源将以正确的角度进行散射并且具有通过系统的相同路径长度。其它散射辐射源(例如,来自与第一图案相邻的产品结构或来自重影的杂散辐射)将不会对干涉做出贡献(或将对其贡献更少)。因此,减少或去除了不希望的散射辐射源的负面影响,从而提高了系统所实现的信噪比。
在一个实施例中,第一图案的外轮廓与第二图案的外轮廓具有基本相同的形状、基本相同的大小、或基本相同的形状和相同的大小。干涉很大程度上只能在匹配图案(即,相同的图案,或者对于周期性图案,至少具有相同的节距)之间发生。将第一图案和第二图案布置成在其外形和尺寸方面彼此匹配增加了在检测器80处干涉并且有助于输出信号的希望的辐射量。衬底上位于由第一图案的形状和大小所限定的区域之外的任何结构都将不起作用——只要对应的结构不存在于第二目标中,并因此被有效地(空间地)滤除掉。该过程可以被称为空间过滤。
在一个实施例中,使用相敏检测进一步提供了信噪比。通过对第二散射辐射应用时变相位调制来实现相敏检测。例如,可以使用SLM 90来应用相位调制。在图4的示例中,提供锁定控制器92,其驱动SLM 90的相位调制并对由检测器80测量的信号应用锁定处理。以这种方式相位调制来自参考分支62的辐射使得可以使用锁定检测原理(在相敏检测领域中众所周知)来仅提取由检测器80检测到的以相同方式(即,具有相同频率)进行相位调制的辐射的分量。相敏检测有效地允许基于相位调制的频率对来自检测器80的输出进行滤波。来自动态噪声(例如,检测器噪声、源噪声、环境噪声)的贡献根据1/频率而降低,其中“频率”是在参考分支62中应用的相位调制的频率。该效果进一步降低了杂散辐射的负面影响并且增强了上面讨论的空间滤波。因此提高了信噪比。
另外,除了强度之外,时变相位调制使得可以在检测器80处提取相位信息。该相位信息有效地允许全电场被检测而不仅仅是强度,使得能够从检测器的输出提取关于衬底W上的目标的进一步信息。使用本技术领域的技术人员已知为相位展开的过程,可获得相位信息。
在图4的示例中,SLM 90在参考分支62中的平面中产生第二图案,所述平面对应于在衬底分支61中的第一图案的平面(即,使得从第一图案和第二图案到检测器80的路径长度相等)。如上所提及,还可以将SLM 90定位在参考分支62中的光瞳平面处,并且配置SLM90以形成与第二图案的衍射光谱相对应的图案。以这种方式,从SLM 90朝向检测器80传播的辐射将与就像SLM 90如图4所示被定位并且被配置为形成第二图案本身而不是衍射光谱那样完全相同。由SLM 90形成的图案可以被相位调制,以便以相同的方式实现相敏检测。相对于SLM 90直接产生第二图案的情况,以这种方式将SLM90定位在光瞳平面中可以理想地减少对极小像素的需要。
干涉对外部振动非常敏感。外部振动会对干涉的稳定性产生负面影响。相敏检测极大地降低了对振动的敏感度,特别是在振动在用于相敏检测的频带之外的情况下。
可以如下在数学上描述相敏检测。
从衬底W上的目标(例如,从第一图案)衍射的场由
Figure BDA0002591778420000181
给出,其中
Figure BDA0002591778420000182
从参考分支62中的对应目标(例如,第二图案)衍射的场由
Figure BDA0002591778420000183
给出,其中
Figure BDA0002591778420000184
值βS是源自衬底分支61的衍射信号的空间分辨相位。值βLO(T)是源自参考分支62的信号的空间分辨相位。该相位以SLM 90提供的选定频率进行调制。
由检测器80接收的辐射强度可以写成如下:
Figure BDA0002591778420000185
其中:
|ELO|2对应于来自参考分支62的强度;
|ES|2对应于来自衬底分支61的强度;
Figure BDA0002591778420000191
是一种时变光信号,其中频率是光频率的两倍(并且因此太快而不能寄存,使得来自该项的有效贡献为零);
Figure BDA0002591778420000192
是在相位调制频率下变化的时变光信号(这是锁定检测所感兴趣的信号);和
Figure BDA0002591778420000193
是噪声项,表示来自在频率范围上散布的动态噪声源的贡献。
锁定处理提取以下信号:
Figure BDA0002591778420000194
来自噪声的贡献限于相位调制的窄带宽Δω,并且因此很小。剩余项
Figure BDA0002591778420000195
因此提供关于来自衬底上的目标(例如,第一图案)的衍射的准确幅度和相位信息。
可以如图5中所示将该过程可视化。检测器80在感兴趣区域110(左上)内检测到辐射。辐射包括来自衬底W上的目标的贡献112。在该示例中,贡献112包括四个不同区域(四个方格)中的辐射,每个区域对应于不同的第一图案。目标可以是包括四个偏置光栅的复合目标,如上面参考图3(c)所讨论的。感兴趣区域110中的辐射还包括从与衬底W上的目标相邻的产品结构所散射的辐射114和杂散辐射116(例如,重影图案)。由检测器80检测到的辐射还包括来自参考分支62的被相位调制的贡献118(右上)。所导致的干涉图案和锁定过程提供包含仅由贡献112(左上)和贡献118(右上)之间的干涉所产生的贡献120的信号。通过干涉技术本身所提供的空间滤波(其有利于仅下述情况中的信号提取:在路径长度相等的情况下,即在SLM 90上的图案与衬底W上的目标上的图案匹配的空间区域中)以及通过锁定处理(其有利于仅在SLM 90处应用的调制频率处存在相位调制的情况下提取信号),来自贡献114和116(以及来自其他源,诸如振动)的噪声均被去除或减少。
由检测器80处的干涉所产生的辐射强度可以采取各种形式,这取决于正在测量的衬底W上的目标的细节以及是否已经应用了时变相位调制。干涉可以形成例如包括条纹(fringe)的一个或多个干涉图案,其中时变相位调制尚未应用于在干涉中所涉及的辐射分量。备选地或附加地,可以从涉及已经应用了时变相位调制的分量的干扰中形成一个或多个均匀强度的区域。在使用时变相位调制的情况下,可以使用相位展开来获得相位图。在诸如上面参考图5描述的目标的情况下,检测器80处的辐射强度可以包括具有相对均匀强度的四个区域。在这种情况下,可以使用少量离散传感器例如四个光电二极管来实现检测器80。在其他实施例中,检测器80处的辐射强度可以包括更多空间结构,并且检测器80因此可以被配置为以更高的空间分辨率(即,具有更多像素)记录辐射强度。检测器80可以包括传感器,诸如图3(a)的传感器23或传感器19(例如CCD或CMOS传感器)。
在一个实施例中,该方法还包括根据第一散射辐射的信号电平对第二散射辐射进行滤波,以便控制检测器80处的动态范围。如上所述,感兴趣信号的大小与乘积ALOAS成比例,其中AS是与来自衬底分支61的辐射(第一散射辐射)相关联的场幅度,并且ALO是与来自参考分支62的辐射(第二散射辐射)相关联的场幅度。通过对第二散射辐射进行滤波,可以控制ALO并因此控制整个信号电平ALOAS。然后可以控制整体信号电平以实现良好的动态范围(并因此获得高信噪比)。例如,在发现AS相对较高的地方(明亮的晶片),滤波可以选择相对较低的ALO以便实现高信噪比。相反,如果AS下降,则可以通过适当的滤波(或去除滤波)来选择较高的ALO值,以便保持相同的信噪比或至少可接受的高信噪比。可以以技术人员已知的各种方式实现滤波。在图4中所示的示例中,提供了一种电动滤波系统100,其包括安装在电动轮上的多个不同强度的滤波器。轮的旋转可以选择性地将不同的滤波器带入参考分支62中的辐射路径,从而提供对第二散射辐射的滤波的所期望的控制。
在典型的实现中,由于干涉测量噪声降低,预期相敏检测将动态范围提高10-102。预期第二散射辐射的可控滤波以将动态范围进一步提高1-102。整体动态范围增强因此可以在10-104的范围内。作为说明,与未使用相敏检测或对第二散射辐射的滤波控制的实现相比,如果发现来自衬底W的衍射辐射中的1μW是实现可接受信噪比所必需的,则在确实使用了相敏检测和对第二散射辐射的滤波控制的实施例中,利用来自衬底W的衍射辐射的仅仅100nW-0.1nW就可以实现相同的信噪比。甚至利用非常小的衍射光级就实现高信噪比的能力也减少了对于进行更长测量的需要(例如,为了获得足够的信噪比而将信号整合得更长)。因此提高了吞吐量。
本文所公开的概念可以发现超出用于监测目的的结构的光刻后测量的效用。例如,这种检测器架构可以在未来的基于光瞳平面检测的对准传感器概念中使用,在光刻设备中使用以用于在图案化工艺期间对准衬底。
虽然上述目标是为测量目的而专门设计和形成的量测目标,但是在其他实施例中,可以在作为在衬底上形成的器件的功能部件的目标上测量性质。许多器件具有规则的光栅状结构。本文使用的术语“目标光栅”和“目标”不要求已经专门为正在进行的测量提供了结构。
量测设备可以在光刻系统、诸如上面参考图2讨论的光刻单元LC中使用。光刻系统包括执行光刻工艺的光刻设备LA。光刻设备可以被配置为在执行随后的光刻工艺时使用由量测设备对由光刻工艺所形成的结构的测量结果,例如以改进随后的光刻工艺。
一个实施例可以包括计算机程序,该计算机程序包含一个或多个机器可读指令序列,其描述了测量结构上的目标和/或分析测量以获得关于光刻工艺的信息的方法。还可以提供一种在其中存储有这样的计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。在现有光刻或量测设备已经在生产和/或使用中的情况下,可以通过提供更新的计算机程序产品以使处理器执行本文所述的方法来实现本发明。
尽管在本文中可以对光刻设备在IC制造中的使用进行具体参考,但是应该理解,本文所描述的光刻设备可以具有其他应用,诸如集成光学系统的制造、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等等。本领域技术人员将理解,在这样的备选应用的上下文中,本文中术语“晶片”或“裸片”的任何使用可以分别被认为与更一般的术语“衬底”或“目标部分”同义。本文提到的衬底可以在曝光之前或之后在例如轨道(通常将抗蚀剂层施加到衬底并使曝光的抗蚀剂显影的工具)、量测工具和/或检查工具上进行处理。在适用的情况下,本文的公开内容可以应用于这种和其他衬底处理工具。此外,衬底可以被处理一次以上,例如以便创建多层IC,使得本文所使用的术语衬底也可以指代已经包含多个处理层的衬底。
在以下编号的条款中进一步描述根据本发明的其他实施例:
1.一种检查衬底的方法,所述方法包括:
将由辐射源发射的源辐射光束分成测量光束和参考光束;
用所述测量光束照射第一目标,所述第一目标在所述衬底上;
用所述参考光束照射第二目标,所述第二目标与所述衬底分离;
从所述第一目标收集第一散射辐射并将所述第一散射辐射递送到检测器;以及
从所述第二目标收集第二散射辐射并将所述第二散射辐射递送到所述检测器,其中:
在所述检测器处所述第一散射辐射与第二散射辐射干涉;
所述第一目标包括第一图案;
所述第二目标包括第二图案或所述第二图案的光瞳平面图像;以及
所述第一图案在几何上与所述第二图案相同,或者所述第一图案和所述第二图案是周期性的并且所述第一图案的节距与所述第二图案的节距相同。
2.根据条款1所述的方法,其中,所述第二目标包括所述第二图案,并且在所述第一目标与所述检测器之间的所述第一散射辐射相对于所述第一目标的光路与在所述第二目标和所述检测器之间的所述第二散射辐射相对于所述第二目标的光路相同。
3.根据条款1所述的方法,其中,所述第二目标包括所述第二图案的光瞳平面图像,并且在所述第一目标的光瞳平面图像和所述检测器之间的所述第一散射辐射相对于所述第一目标的所述光瞳平面图像的光路与在所述第二目标和所述检测器之间的所述第二散射辐射相对于所述第二目标的光路相同。
4.根据条款1所述的方法,其中,所述第一图案的外轮廓与所述第二图案的外轮廓具有基本相同的形状、基本相同的大小、或基本相同的形状和相同的大小。
5.根据前述任一项条款所述的方法,其中,所述第二目标包括空间光调制器。
6.根据前述任一项条款所述的方法,还包括将时变相位调制应用于所述第二散射辐射。
7.根据条款6所述的方法,其中,所述第二目标包括空间光调制器,并且使用所述空间光调制器来应用所述时变相位调制。
8.根据条款6或7所述的方法,还包括基于所述相位调制的频率对来自所述检测器的输出进行滤波。
9.根据前述任一项条款所述的方法,还包括:根据所述第一散射辐射的信号电平对所述第二散射辐射进行滤波,以便控制所述检测器处的动态范围。
10.一种用于检查衬底的量测设备,所述量测设备包括:
辐射源,所述辐射源被配置为提供源辐射光束;
分束器,所述分束器被配置为将所述源辐射光束分成测量光束和参考光束;和
光学系统,所述光学系统被配置为:
用所述测量光束照射第一目标,所述第一目标在所述衬底上;
用所述参考光束照射第二目标,所述第二目标与所述衬底分离;
从所述第一目标收集第一散射辐射,并将所述第一散射辐射递送到检测器;以及
从所述第二目标收集第二散射辐射,并将所述第二散射辐射递送到所述检测器,其中:
所述光学系统被配置为使得所述第二散射辐射以在所述检测器处与所述第一散射辐射干涉的方式被递送到检测器;
所述第一目标包括第一图案;
所述第二目标包括第二图案或所述第二图案的光瞳平面图像;和
所述第一图案在几何上与所述第二图案相同,或者所述第一图案和所述第二图案是周期性的并且所述第一图案的节距与所述第二图案的节距相同。
11.根据条款10所述的设备,其中,所述第二目标包括所述第二图案,并且所述光学系统被配置为使得在所述第一目标与所述检测器之间的所述第一散射辐射相对于所述第一目标的光路与在所述第二目标和所述检测器之间的所述第二散射辐射相对于所述第二目标的光路相同。
12.根据条款10所述的设备,其中,所述第二目标包括所述第二图案的光瞳平面图像,并且所述光学系统被配置为使得在所述第一目标的所述光瞳平面图像和所述检测器之间的所述第一散射辐射相对于所述第一目标的所述光瞳平面图像的光路与在所述第二目标和所述检测器之间的所述第二散射辐射相对于所述第二目标的光路相同。
13.根据条款10-12中任一项所述的设备,其中,所述第一图案的外轮廓与所述第二图案的外轮廓是基本相同的形状、基本相同的大小、或基本相同的形状和相同的大小。
14.根据条款10-13中任一项所述的设备,其中,所述第二目标包括空间光调制器。
15.根据条款10-14中任一项所述的设备,其中,所述设备被配置为将时变相位调制应用于所述第二散射辐射。
16.根据条款15所述的设备,其中,所述第二目标包括空间光调制器,并且使用所述空间光调制器来应用所述时变相位调制。
17.根据条款15或16所述的设备,其中,所述设备被配置为基于所述相位调制的频率对来自所述检测器的输出进行滤波。
18.根据条款10-17中任一项所述的设备,还包括滤波器系统,所述滤波器系统被配置为根据所述第一散射辐射的信号电平对所述第二散射辐射进行滤波,以便控制所述检测器处的动态范围。
19.一种光刻系统,包括:
光刻设备,所述光刻设备被配置为执行光刻工艺;和
根据条款10-18中任一项的量测设备,其中:
所述光刻设备被布置为将使用所述量测设备获得的检查衬底的结果用于光刻工艺中。
尽管上面可能已经在光学光刻的上下文中对本发明的实施例的使用进行了具体参考,但是应当理解,本发明可以使用在其他应用例如压印光刻中,并且在上下文允许的情况下不限于光学光刻。在压印光刻中,图案化器件中的拓扑限定了在衬底上创建的图案。可以将图案化器件的拓扑压入供应给衬底的抗蚀剂层中,由此通过应用电磁辐射、热、压力或其组合来固化抗蚀剂。在固化抗蚀剂后,将图案化器件移出抗蚀剂,在其中留下图案。
本文使用的术语“辐射”和“光束”涵盖所有类型的电磁辐射,包括紫外(UV)辐射(例如,具有大约365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外(EUV)辐射(例如具有在5nm-20nm范围内的波长),以及诸如离子束或电子束之类的粒子束。
在上下文允许的情况下,术语“透镜”可以指代包括折射、反射、磁性、电磁和静电光学组件的各种类型的光学组件中的任何一个或组合。
对具体实施例的前述描述将充分揭示本发明的一般性质,在不偏离本发明的一般概念的情况下,其他人可以通过应用本领域技术范围内的知识来针对各种应用容易地修改和/或适应这样的具体实施例,而无需过度的实验。因此,基于本文给出的教导和指导,这样的适应和修改被预期落入所公开的实施例的等同物的含义和范围内。应理解,本文中的措辞或术语是出于通过示例而非限制的描述的目的,使得本说明书的术语或措辞将由本领域技术人员根据这些教导和指导来解释。
本发明的广度和范围不应受上述任何示例性实施例的限制,而应仅根据所附权利要求及其等同物来限定。

Claims (21)

1.一种检查衬底的方法,所述方法包括:
将由辐射源发射的源辐射光束分成测量光束和参考光束;
用所述测量光束照射第一目标,所述第一目标在所述衬底上;
用所述参考光束照射第二目标,所述第二目标与所述衬底分离;
从所述第一目标收集第一散射辐射,并将所述第一散射辐射递送到检测器;和
从所述第二目标收集第二散射辐射,并将所述第二散射辐射递送到所述检测器,其中:
在所述检测器处所述第一散射辐射与所述第二散射辐射干涉;
所述第一目标包括第一图案;以及
所述第二目标包括第二图案或所述第二图案的光瞳平面图像。
2.根据权利要求1所述的方法,其中,所述第一图案在几何上与所述第二图案相同,或者所述第一图案和所述第二图案是周期性的、并且所述第一图案的节距与所述第二图案的节距相同。
3.根据权利要求1所述的方法,其中,所述第二目标包括所述第二图案,并且在所述第一目标与所述检测器之间的所述第一散射辐射相对于所述第一目标的光路与在所述第二目标和所述检测器之间的所述第二散射辐射相对于所述第二目标的光路相同。
4.根据权利要求1所述的方法,其中,所述第二目标包括所述第二图案的光瞳平面图像,并且在所述第一目标的光瞳平面图像和所述检测器之间的所述第一散射辐射相对于所述第一目标的光瞳平面图像的光路与在所述第二目标和所述检测器之间的所述第二散射辐射相对于所述第二目标的光路相同。
5.根据权利要求1所述的方法,其中,所述第一图案的外轮廓与所述第二图案的外轮廓具有基本相同的形状、基本相同的大小、或基本相同的形状和相同的大小。
6.根据权利要求1所述的方法,其中,所述第二目标包括空间光调制器。
7.根据权利要求1所述的方法,还包括将时变相位调制应用于所述第二散射辐射。
8.根据权利要求7所述的方法,其中,所述第二目标包括空间光调制器,并且使用所述空间光调制器来应用所述时变相位调制。
9.根据权利要求7或8所述的方法,还包括:基于所述相位调制的频率对来自所述检测器的输出进行滤波。
10.根据权利要求1所述的方法,还包括:根据所述第一散射辐射的信号水平对所述第二散射辐射进行滤波,以便控制所述检测器处的动态范围。
11.一种用于检查衬底的量测设备,所述量测设备包括:
辐射源,所述辐射源被配置为提供源辐射光束;
分束器,所述分束器被配置为将所述源辐射光束分成测量光束和参考光束;和
光学系统,所述光学系统被配置为:
用所述测量光束照射第一目标,所述第一目标在所述衬底上;
用所述参考光束照射第二目标,所述第二目标与所述衬底分离;
从所述第一目标收集第一散射辐射,并将所述第一散射辐射递送到检测器;和
从所述第二目标收集第二散射辐射,并将所述第二散射辐射递送到所述检测器,其中:
所述光学系统被配置为使得所述第二散射辐射以在所述检测器处与所述第一散射辐射干涉的方式被递送到所述检测器;
所述第一目标包括第一图案;以及
所述第二目标包括第二图案或所述第二图案的光瞳平面图像。
12.根据权利要求11所述的设备,其中,所述第一图案在几何上与所述第二图案相同,或者所述第一图案和所述第二图案是周期性的、并且所述第一图案的节距与所述第二图案的节距相同。
13.根据权利要求11所述的设备,其中,所述第二目标包括所述第二图案,并且所述光学系统被配置为使得在所述第一目标与所述检测器之间的所述第一散射辐射相对于所述第一目标的光路与在所述第二目标和所述检测器之间的所述第二散射辐射相对于所述第二目标的光路相同。
14.根据权利要求11所述的设备,其中,所述第二目标包括所述第二图案的光瞳平面图像,并且所述光学系统被配置为使得在所述第一目标的光瞳平面图像和所述检测器之间的所述第一散射辐射相对于所述第一目标的所述光瞳平面图像的光路与在所述第二目标和所述检测器之间的所述第二散射辐射相对于所述第二目标的光路相同。
15.根据权利要求11所述的设备,其中,所述第一图案的外轮廓与所述第二图案的外轮廓是基本相同的形状、基本相同的大小、或基本相同的形状和相同的大小。
16.根据权利要求11所述的设备,其中,所述第二目标包括空间光调制器。
17.根据权利要求11所述的设备,其中,所述设备被配置为将时变相位调制应用于所述第二散射辐射。
18.根据权利要求17所述的设备,其中,所述第二目标包括空间光调制器,并且使用所述空间光调制器来应用所述时变相位调制。
19.根据权利要求17或18所述的设备,其中,所述设备被配置为基于所述相位调制的频率对来自所述检测器的输出进行滤波。
20.根据权利要求11所述的设备,还包括滤波器系统,所述滤波器系统被配置为根据所述第一散射辐射的信号电平对所述第二散射辐射进行滤波,以便控制所述检测器处的动态范围。
21.一种光刻系统,包括:
光刻设备,所述光刻设备被配置为执行光刻工艺;和
根据权利要求11-20中任一项所述的量测设备,其中:
所述光刻设备被布置为将使用所述量测设备获得的检查衬底的结果用于光刻工艺中。
CN202010697550.9A 2016-10-14 2017-09-28 检查衬底的方法、量测设备和光刻系统 Pending CN111736434A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP16193944.2A EP3309616A1 (en) 2016-10-14 2016-10-14 Method of inspecting a substrate, metrology apparatus, and lithographic system
EP16193944.2 2016-10-14
CN201780063311.4A CN109844646A (zh) 2016-10-14 2017-09-28 检查衬底的方法、量测设备和光刻系统

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201780063311.4A Division CN109844646A (zh) 2016-10-14 2017-09-28 检查衬底的方法、量测设备和光刻系统

Publications (1)

Publication Number Publication Date
CN111736434A true CN111736434A (zh) 2020-10-02

Family

ID=57178272

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780063311.4A Pending CN109844646A (zh) 2016-10-14 2017-09-28 检查衬底的方法、量测设备和光刻系统
CN202010697550.9A Pending CN111736434A (zh) 2016-10-14 2017-09-28 检查衬底的方法、量测设备和光刻系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201780063311.4A Pending CN109844646A (zh) 2016-10-14 2017-09-28 检查衬底的方法、量测设备和光刻系统

Country Status (7)

Country Link
US (1) US10534274B2 (zh)
EP (1) EP3309616A1 (zh)
KR (1) KR102238969B1 (zh)
CN (2) CN109844646A (zh)
IL (1) IL265764B2 (zh)
TW (1) TWI660164B (zh)
WO (1) WO2018069052A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB201609995D0 (en) * 2016-06-08 2016-07-20 Aquasium Technology Ltd Shaped welding head
KR102592917B1 (ko) 2016-08-26 2023-10-23 삼성전자주식회사 표면 검사 방법 및 반도체 소자의 제조 방법
US10830709B2 (en) * 2018-09-28 2020-11-10 Onto Innovation Inc. Interferometer with pixelated phase shift mask
EP3786713A1 (en) * 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
WO2021083649A1 (en) 2019-10-29 2021-05-06 Asml Holding N.V. Variable diffraction grating
US11512948B2 (en) 2020-05-26 2022-11-29 Kla Corporation Imaging system for buried metrology targets
US11094499B1 (en) * 2020-10-04 2021-08-17 Borries Pte. Ltd. Apparatus of charged-particle beam such as electron microscope comprising sliding specimen table within objective lens
TWI805969B (zh) * 2020-11-30 2023-06-21 致茂電子股份有限公司 表面形貌檢測系統

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6469793B1 (en) * 1999-08-10 2002-10-22 Svg Lithography Systems, Inc. Multi-channel grating interference alignment sensor
CN1477448A (zh) * 2002-06-11 2004-02-25 Asml荷兰有限公司 光刻装置和器件制造方法
US20050237536A1 (en) * 2004-04-22 2005-10-27 Hill Henry A Interferometry systems and methods of using interferometry systems
US20070030477A1 (en) * 2005-08-02 2007-02-08 Kla-Tencor Technologies Corp. Systems Configured to Generate Output Corresponding to Defects on a Specimen
US20100149548A1 (en) * 2008-12-15 2010-06-17 Asml Holding N.V. Reticle Inspection Systems and Method
US20110032503A1 (en) * 2009-08-10 2011-02-10 Canon Kabushiki Kaisha Measurement apparatus, exposure apparatus, and device fabrication method
US20120057171A1 (en) * 2010-09-08 2012-03-08 Asml Netherlands B.V. Self-referencing interferometer, alignment system, and lithographic apparatus
US20130278938A1 (en) * 2012-04-23 2013-10-24 Panasonic Corporarion Surface profile measuring apparatus and method
US20160231241A1 (en) * 2015-02-06 2016-08-11 Asml Netherlands B.V. Method and Apparatus for Improving Measurement Accuracy

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0758267B2 (ja) * 1987-02-16 1995-06-21 株式会社日立製作所 パタ−ン欠陥検査方法及び装置
US6937343B2 (en) * 2002-08-29 2005-08-30 Applied Materials, Israel, Ltd. Laser scanner with amplitude and phase detection
TWI264620B (en) * 2003-03-07 2006-10-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8175252B2 (en) * 2005-06-28 2012-05-08 Alcatel Lucent Ringback tone bookmark request by calling party
US7433033B2 (en) * 2006-05-05 2008-10-07 Asml Netherlands B.V. Inspection method and apparatus using same
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US9592970B2 (en) * 2008-07-17 2017-03-14 Toby D. Henderson Robotic gantry with end effector for product lifting
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
KR101642033B1 (ko) 2009-08-24 2016-07-22 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
CN103201682B (zh) 2010-11-12 2015-06-17 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
NL2008414A (en) 2011-03-21 2012-09-24 Asml Netherlands Bv Method and apparatus for determining structure parameters of microstructures.
US20130027893A1 (en) * 2011-07-25 2013-01-31 Laird Technologies, Inc. Electromagnetic Interference (EMI) Shields
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
NL2010734A (en) 2012-05-29 2013-12-02 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
US10132763B2 (en) * 2012-07-23 2018-11-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic system and device manufacturing method
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
JP6271896B2 (ja) 2013-07-22 2018-01-31 キヤノン株式会社 干渉計測装置、リソグラフィ装置および物品の製造方法
JP6320051B2 (ja) * 2014-01-17 2018-05-09 キヤノン株式会社 三次元形状計測装置、三次元形状計測方法
NL2015160A (en) * 2014-07-28 2016-07-07 Asml Netherlands Bv Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method.

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6469793B1 (en) * 1999-08-10 2002-10-22 Svg Lithography Systems, Inc. Multi-channel grating interference alignment sensor
CN1477448A (zh) * 2002-06-11 2004-02-25 Asml荷兰有限公司 光刻装置和器件制造方法
US20050237536A1 (en) * 2004-04-22 2005-10-27 Hill Henry A Interferometry systems and methods of using interferometry systems
US20070030477A1 (en) * 2005-08-02 2007-02-08 Kla-Tencor Technologies Corp. Systems Configured to Generate Output Corresponding to Defects on a Specimen
US20100149548A1 (en) * 2008-12-15 2010-06-17 Asml Holding N.V. Reticle Inspection Systems and Method
US20110032503A1 (en) * 2009-08-10 2011-02-10 Canon Kabushiki Kaisha Measurement apparatus, exposure apparatus, and device fabrication method
US20120057171A1 (en) * 2010-09-08 2012-03-08 Asml Netherlands B.V. Self-referencing interferometer, alignment system, and lithographic apparatus
US20130278938A1 (en) * 2012-04-23 2013-10-24 Panasonic Corporarion Surface profile measuring apparatus and method
US20160231241A1 (en) * 2015-02-06 2016-08-11 Asml Netherlands B.V. Method and Apparatus for Improving Measurement Accuracy

Also Published As

Publication number Publication date
IL265764B1 (en) 2023-01-01
TW201819889A (zh) 2018-06-01
KR102238969B1 (ko) 2021-04-12
IL265764B2 (en) 2023-05-01
US20180107124A1 (en) 2018-04-19
US10534274B2 (en) 2020-01-14
TWI660164B (zh) 2019-05-21
EP3309616A1 (en) 2018-04-18
CN109844646A (zh) 2019-06-04
KR20190058652A (ko) 2019-05-29
IL265764A (en) 2019-06-30
WO2018069052A1 (en) 2018-04-19

Similar Documents

Publication Publication Date Title
KR101129332B1 (ko) 검사 장치, 리소그래피 장치, 리소그래피 처리 셀 및 검사 방법
US10534274B2 (en) Method of inspecting a substrate, metrology apparatus, and lithographic system
US7589832B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7580131B2 (en) Angularly resolved scatterometer and inspection method
CN111065974B (zh) 用于在小量测目标上对准的拍频图案
TWI686587B (zh) 度量衡裝置、微影系統及量測結構之方法
US10488765B2 (en) Method of optimizing the position and/or size of a measurement illumination spot relative to a target on a substrate, and associated apparatus
KR20190071826A (ko) 측정 시스템, 리소그래피 시스템 및 타겟을 측정하는 방법
CN110050232B (zh) 量测设备、光刻系统和测量结构的方法
CN110088683B (zh) 用于监测来自量测装置的照射的特性的方法
WO2021224009A1 (en) A substrate comprising a target arrangement, and associated at least one patterning device, lithographic method and metrology method
CN110663002B (zh) 测量目标的方法、量测设备、光刻单元和目标
EP3410212A1 (en) Metrology apparatus

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination