CN111681961B - Method for manufacturing semiconductor device - Google Patents
Method for manufacturing semiconductor device Download PDFInfo
- Publication number
- CN111681961B CN111681961B CN202010734076.2A CN202010734076A CN111681961B CN 111681961 B CN111681961 B CN 111681961B CN 202010734076 A CN202010734076 A CN 202010734076A CN 111681961 B CN111681961 B CN 111681961B
- Authority
- CN
- China
- Prior art keywords
- semiconductor substrate
- layer
- manufacturing
- gate structure
- semiconductor device
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 134
- 238000000034 method Methods 0.000 title claims abstract description 75
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 38
- 239000000758 substrate Substances 0.000 claims abstract description 92
- 229910052751 metal Inorganic materials 0.000 claims abstract description 83
- 239000002184 metal Substances 0.000 claims abstract description 83
- 239000000463 material Substances 0.000 claims abstract description 47
- 238000005530 etching Methods 0.000 claims abstract description 42
- 229910021332 silicide Inorganic materials 0.000 claims abstract description 38
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims abstract description 38
- 238000001312 dry etching Methods 0.000 claims abstract description 15
- 239000007789 gas Substances 0.000 claims description 36
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 14
- 238000005468 ion implantation Methods 0.000 claims description 13
- 238000004140 cleaning Methods 0.000 claims description 12
- 230000008439 repair process Effects 0.000 claims description 12
- 229910052710 silicon Inorganic materials 0.000 claims description 12
- 239000010703 silicon Substances 0.000 claims description 12
- 238000000137 annealing Methods 0.000 claims description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 10
- 230000003647 oxidation Effects 0.000 claims description 8
- 238000007254 oxidation reaction Methods 0.000 claims description 8
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 7
- 229910052715 tantalum Inorganic materials 0.000 claims description 7
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 7
- 239000010936 titanium Substances 0.000 claims description 7
- 229910052719 titanium Inorganic materials 0.000 claims description 7
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 6
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 6
- 235000012239 silicon dioxide Nutrition 0.000 claims description 6
- 239000000377 silicon dioxide Substances 0.000 claims description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 5
- 239000001257 hydrogen Substances 0.000 claims description 5
- 229910052739 hydrogen Inorganic materials 0.000 claims description 5
- 229910052757 nitrogen Inorganic materials 0.000 claims description 5
- 239000001301 oxygen Substances 0.000 claims description 5
- 229910052760 oxygen Inorganic materials 0.000 claims description 5
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 4
- 238000011065 in-situ storage Methods 0.000 claims description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 4
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims description 3
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 claims description 3
- 239000011737 fluorine Substances 0.000 claims description 3
- 229910052731 fluorine Inorganic materials 0.000 claims description 3
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 claims description 3
- 229910052759 nickel Inorganic materials 0.000 claims description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 3
- 229910052721 tungsten Inorganic materials 0.000 claims description 3
- 239000010937 tungsten Substances 0.000 claims description 3
- 229910052727 yttrium Inorganic materials 0.000 claims description 3
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 claims description 3
- 229910052726 zirconium Inorganic materials 0.000 claims description 3
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 2
- 229910002090 carbon oxide Inorganic materials 0.000 claims description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims 1
- 230000007547 defect Effects 0.000 abstract description 6
- 239000010410 layer Substances 0.000 description 122
- 125000006850 spacer group Chemical group 0.000 description 30
- 150000004767 nitrides Chemical class 0.000 description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 11
- 238000000231 atomic layer deposition Methods 0.000 description 5
- 239000000243 solution Substances 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 206010010144 Completed suicide Diseases 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910021424 microcrystalline silicon Inorganic materials 0.000 description 1
- 239000011259 mixed solution Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 238000005019 vapor deposition process Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/027—Manufacture or treatment of FETs having insulated gates [IGFET] of lateral single-gate IGFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/01—Manufacture or treatment
- H10D64/015—Manufacture or treatment removing at least parts of gate spacers, e.g. disposable spacers
Landscapes
- Insulated Gate Type Field-Effect Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
Description
技术领域Technical field
本发明涉及半导体制造技术领域,特别涉及一种半导体器件的制造方法。The present invention relates to the technical field of semiconductor manufacturing, and in particular to a manufacturing method of a semiconductor device.
背景技术Background technique
现今在半导体器件制造过程中,需要在特定区域形成金属硅化物,用于降低接触电阻。现有的半导体器件的制造工艺流程通常包括:首先,提供半导体衬底,半导体衬底上形成有栅极;然后,在半导体衬底和所述栅极上沉积侧墙材料层;接着,对所述侧墙材料层进行刻蚀,形成栅极侧墙;接着,执行湿法刻蚀工艺,以去除半导体衬底和栅极上残留的侧墙材料层。Today, in the manufacturing process of semiconductor devices, metal silicide needs to be formed in specific areas to reduce contact resistance. The existing manufacturing process flow of semiconductor devices usually includes: first, providing a semiconductor substrate with a gate electrode formed on the semiconductor substrate; then, depositing a spacer material layer on the semiconductor substrate and the gate electrode; and then, forming a gate electrode on the semiconductor substrate. The spacer material layer is etched to form the gate spacer; then, a wet etching process is performed to remove the remaining spacer material layer on the semiconductor substrate and the gate.
然而,在上述半导体器件的制造工艺流程中,在执行湿法刻蚀工艺时,采用的刻蚀液较少(如果刻蚀液或者刻蚀量太多,会对栅极侧墙的形貌造成损伤,在后续进行源漏离子注入时,离子注入会击穿栅极侧墙,从而会导致导电沟道变短),因此,达不到刻蚀效果,半导体衬底表面和栅极表面会残留侧墙材料层,残留的侧墙材料层在后续形成金属硅化物时,会造成金属硅化物表面的凹凸缺陷,即会影响金属硅化物的表面均匀性,从而会影响金属硅化物与源区、漏区和栅极结构的接触,进而会造成半导体器件短路或者开路等失效。However, in the manufacturing process of the above-mentioned semiconductor device, when performing the wet etching process, less etching liquid is used (if the etching liquid or the amount of etching is too much, it will cause damage to the morphology of the gate sidewalls. Damage, during subsequent source and drain ion implantation, the ion implantation will break down the gate sidewalls, resulting in a shortening of the conductive channel). Therefore, the etching effect cannot be achieved, and residues will remain on the surface of the semiconductor substrate and the gate electrode. When the sidewall material layer and the remaining sidewall material layer are subsequently formed into metal silicide, they will cause uneven defects on the surface of the metal silicide, which will affect the surface uniformity of the metal silicide, thereby affecting the connection between the metal silicide and the source area. The contact between the drain region and the gate structure may cause short circuit or open circuit failure of the semiconductor device.
发明内容Contents of the invention
本发明的目的在于提供一种半导体器件的制造方法,以解决金属硅化物表面的凹凸缺陷问题,从而改善金属硅化物的表面均匀性。The object of the present invention is to provide a method for manufacturing a semiconductor device to solve the problem of uneven defects on the surface of metal silicide, thereby improving the surface uniformity of metal silicide.
为解决上述技术问题,本发明提供一种半导体器件的制造方法,所述半导体器件的制造方法包括:In order to solve the above technical problems, the present invention provides a manufacturing method of a semiconductor device. The manufacturing method of a semiconductor device includes:
提供一半导体衬底,所述半导体衬底上形成有栅极结构;Provide a semiconductor substrate with a gate structure formed on the semiconductor substrate;
在所述半导体衬底表面以及所述栅极结构顶面和侧面形成侧墙材料层;Forming a spacer material layer on the surface of the semiconductor substrate and the top and side surfaces of the gate structure;
采用干法刻蚀工艺刻蚀所述侧墙材料层,直至暴露出所述栅极结构顶面和所述半导体衬底表面,以在所述栅极结构侧面形成侧墙结构;Use a dry etching process to etch the sidewall material layer until the top surface of the gate structure and the surface of the semiconductor substrate are exposed to form a sidewall structure on the side of the gate structure;
在暴露出的所述半导体衬底表面和所述栅极结构顶面形成金属硅化物。Metal silicide is formed on the exposed surface of the semiconductor substrate and the top surface of the gate structure.
可选的,在所述的半导体器件的制造方法中,所述侧墙材料层包括依次层叠的第一氧化层、氮化层和第二氧化层,所述第一氧化层覆盖所述半导体衬底表面以及所述栅极结构顶面和侧面。Optionally, in the manufacturing method of a semiconductor device, the spacer material layer includes a first oxide layer, a nitride layer and a second oxide layer stacked in sequence, and the first oxide layer covers the semiconductor liner. bottom surface as well as top and side surfaces of the gate structure.
可选的,在所述的半导体器件的制造方法中,干法刻蚀所述侧墙材料层的方法包括:Optionally, in the manufacturing method of a semiconductor device, a method of dry etching the spacer material layer includes:
采用第一刻蚀气体刻蚀所述第一氧化层,直至暴露出所述氮化层表面;Use a first etching gas to etch the first oxide layer until the surface of the nitride layer is exposed;
采用第二刻蚀气体刻蚀所述氮化层和所述第二氧化层,直至暴露出所述栅极结构顶面和所述半导体衬底表面。The second etching gas is used to etch the nitride layer and the second oxide layer until the top surface of the gate structure and the surface of the semiconductor substrate are exposed.
可选的,在所述的半导体器件的制造方法中,所述第一刻蚀气体和所述第二刻蚀气体的流量均为5sccm~600sccm;所述第一刻蚀气体为氮气、氟气和氧化碳气中的一种或者多种组合的混合气体;所述第二刻蚀气体为氢气、氧气和氟化碳气中的一种或者多种组合的混合气体。Optionally, in the manufacturing method of a semiconductor device, the flow rates of the first etching gas and the second etching gas are both 5 sccm to 600 sccm; the first etching gas is nitrogen or fluorine. and a mixed gas of one or more combinations of carbon oxide gas; the second etching gas is a mixed gas of one or more combinations of hydrogen, oxygen, and fluorocarbon gas.
可选的,在所述的半导体器件的制造方法中,在形成所述侧墙结构之后,在形成所述金属硅化物之前,所述半导体器件的制造方法还包括:Optionally, in the manufacturing method of a semiconductor device, after forming the spacer structure and before forming the metal silicide, the manufacturing method of the semiconductor device further includes:
对暴露出的所述半导体执行离子注入,以形成源区和漏区,所述源区和漏区分别位于所述栅极结构两侧;以及,Perform ion implantation on the exposed semiconductor to form source regions and drain regions, which are respectively located on both sides of the gate structure; and,
对执行离子注入工艺后的所述半导体衬底表面进行修复处理。The surface of the semiconductor substrate after performing the ion implantation process is repaired.
可选的,在所述的半导体器件的制造方法中,所述修复处理包括退火处理、氧化处理和氮氧化处理中的至少一种。Optionally, in the manufacturing method of a semiconductor device, the repair process includes at least one of an annealing process, an oxidation process, and an oxynitride process.
可选的,在所述的半导体器件的制造方法中,形成所述金属硅化物的方法包括:Optionally, in the manufacturing method of a semiconductor device, the method of forming the metal silicide includes:
在暴露出的所述栅极结构顶面、所述半导体衬底和所述侧墙结构表面形成金属层;Form a metal layer on the exposed top surface of the gate structure, the semiconductor substrate and the spacer structure;
对所述半导体衬底执行退火工艺,以使所述金属层中的金属与所述栅极结构和所述半导体衬底中的硅反应并形成金属硅化物;Perform an annealing process on the semiconductor substrate to cause metal in the metal layer to react with silicon in the gate structure and the semiconductor substrate and form metal silicide;
对所述半导体衬底执行清洗工艺,以去除所述栅极结构顶面、所述半导体衬底和所述侧墙结构表面未反应的所述金属层。A cleaning process is performed on the semiconductor substrate to remove the unreacted metal layer on the top surface of the gate structure, the semiconductor substrate and the spacer structure surface.
可选的,在所述的半导体器件的制造方法中,所述金属层中的材质为钛、锆、钽、钨、锰、镍和钇中的至少一种。Optionally, in the manufacturing method of a semiconductor device, the material in the metal layer is at least one of titanium, zirconium, tantalum, tungsten, manganese, nickel and yttrium.
可选的,在所述的半导体器件的制造方法中,在形成所述金属层之前,所述半导体器件的制造方法还包括,形成一粘附层,所述粘附层覆盖暴露出的所述半导体衬底表面,在形成所述金属层后,所述金属层覆盖所述粘附层。Optionally, in the manufacturing method of the semiconductor device, before forming the metal layer, the manufacturing method of the semiconductor device further includes forming an adhesion layer, the adhesion layer covering the exposed portion of the semiconductor device. On the surface of the semiconductor substrate, after the metal layer is formed, the metal layer covers the adhesion layer.
可选的,在所述的半导体器件的制造方法中,所述粘附层包括钛层、氮化钛层、钽层及氮化钽层中的至少一层。Optionally, in the manufacturing method of a semiconductor device, the adhesion layer includes at least one layer among a titanium layer, a titanium nitride layer, a tantalum layer and a tantalum nitride layer.
在本发明提供的半导体器件的制造方法中,通过在所述半导体衬底表面以及所述栅极结构顶面和侧面形成侧墙材料层;然后,采用干法刻蚀工艺刻蚀所述侧墙材料层,直至暴露出所述栅极结构顶面和所述半导体衬底表面,以在所述栅极结构侧面形成侧墙结构;接着,在暴露出的所述半导体衬底表面和所述栅极结构顶面形成金属硅化物。通过所述干法刻蚀工艺刻蚀所述侧墙材料层,并停止在所述栅极结构顶面和所述半导体衬底表面,可以避免侧墙材料层残留在所述半导体衬底上,在后续形成金属硅化物时,可以使所述金属硅化物表面的形貌平整。由此,可以避免金属硅化物表面的凹凸缺陷,从而改善所述金属硅化物表面的均匀性。进一步的,由于采用所述干法刻蚀工艺刻蚀所述侧墙材料层,相较于现有技术,不会损伤侧墙结构的形貌。In the manufacturing method of a semiconductor device provided by the present invention, a layer of spacer material is formed on the surface of the semiconductor substrate and the top and side surfaces of the gate structure; and then, a dry etching process is used to etch the sidewall. material layer until the top surface of the gate structure and the surface of the semiconductor substrate are exposed to form a spacer structure on the side of the gate structure; then, on the exposed surface of the semiconductor substrate and the gate Metal silicide is formed on the top surface of the pole structure. By etching the sidewall material layer through the dry etching process and stopping on the top surface of the gate structure and the surface of the semiconductor substrate, it is possible to avoid the sidewall material layer remaining on the semiconductor substrate. When the metal silicide is subsequently formed, the surface morphology of the metal silicide can be smoothed. As a result, uneven defects on the surface of the metal silicide can be avoided, thereby improving the uniformity of the surface of the metal silicide. Furthermore, since the dry etching process is used to etch the sidewall material layer, compared with the existing technology, the morphology of the sidewall structure will not be damaged.
附图说明Description of the drawings
图1是本发明实施例提供的半导体器件的制造方法的流程示意图;Figure 1 is a schematic flow chart of a manufacturing method of a semiconductor device provided by an embodiment of the present invention;
图2至图6是本发明实施例提供的半导体器件的制造方法中形成的结构示意图;2 to 6 are schematic structural diagrams formed in the manufacturing method of a semiconductor device provided by embodiments of the present invention;
其中,附图标记说明如下:Among them, the reference symbols are explained as follows:
100-半导体衬底;110-栅极结构;120-侧墙材料层;130-侧墙结构;140-源区;150-漏区;160-金属层;170-金属硅化物。100-semiconductor substrate; 110-gate structure; 120-sidewall material layer; 130-sidewall structure; 140-source region; 150-drain region; 160-metal layer; 170-metal silicide.
具体实施方式Detailed ways
以下结合附图和具体实施例对本发明提出的半导体器件的制造方法作进一步详细说明。根据下面说明,本发明的优点和特征将更清楚。需说明的是,附图均采用非常简化的形式且均使用非精准的比例,仅用以方便、明晰地辅助说明本发明实施例的目的。The manufacturing method of the semiconductor device proposed by the present invention will be further described in detail below with reference to the accompanying drawings and specific embodiments. The advantages and features of the present invention will become clearer from the following description. It should be noted that the drawings are in a very simplified form and use imprecise proportions, and are only used to conveniently and clearly assist in explaining the embodiments of the present invention.
请参考图1,其为本发明实施例提供的半导体器件的制造方法的流程示意图。如图1所示,所述半导体器件的制造方法包括:Please refer to FIG. 1 , which is a schematic flowchart of a method for manufacturing a semiconductor device according to an embodiment of the present invention. As shown in Figure 1, the manufacturing method of the semiconductor device includes:
步骤S1:提供一半导体衬底,所述半导体衬底上形成有栅极结构;Step S1: Provide a semiconductor substrate with a gate structure formed on the semiconductor substrate;
步骤S2:在所述半导体衬底表面以及所述栅极结构顶面和侧面形成侧墙材料层;Step S2: Form a spacer material layer on the surface of the semiconductor substrate and the top and side surfaces of the gate structure;
步骤S3:干法刻蚀所述侧墙材料层,直至暴露出所述栅极结构顶面和所述半导体衬底表面,以在所述栅极结构侧面形成侧墙结构;Step S3: Dry-etch the spacer material layer until the top surface of the gate structure and the surface of the semiconductor substrate are exposed to form a spacer structure on the side of the gate structure;
步骤S4:在暴露出的所述半导体衬底表面和所述栅极结构顶面形成金属硅化物。Step S4: Form metal silicide on the exposed surface of the semiconductor substrate and the top surface of the gate structure.
请参考图2至图6,其为本发明实施例提供的半导体器件的制造方法中形成的结构示意图。接下去,将结合图2至图6对上述步骤进行更详细的说明。Please refer to FIGS. 2 to 6 , which are schematic structural diagrams formed in the manufacturing method of a semiconductor device provided by embodiments of the present invention. Next, the above steps will be described in more detail with reference to FIGS. 2 to 6 .
如图2所示,首先,执行步骤S1,提供一半导体衬底100,所述半导体衬底100上形成有栅极结构110。所述半导体衬底100中形成有浅沟槽隔离结构(未图示)。此外,所述半导体衬底100表面具有暴露的硅区域,暴露的硅区域可以是半导体衬底100内形成的用作半导体器件的源区140和漏区150,其硅区域可以是单晶硅、非晶硅、多晶硅或微晶硅。As shown in FIG. 2 , first, step S1 is performed to provide a semiconductor substrate 100 with a gate structure 110 formed on the semiconductor substrate 100 . A shallow trench isolation structure (not shown) is formed in the semiconductor substrate 100 . In addition, the surface of the semiconductor substrate 100 has an exposed silicon region. The exposed silicon region may be the source region 140 and the drain region 150 formed in the semiconductor substrate 100 and used as a semiconductor device. The silicon region may be single crystal silicon, Amorphous silicon, polycrystalline silicon or microcrystalline silicon.
所述栅极结构110包括栅介质层和位于所述栅介质层上的栅极,所述栅介质层为氧化硅和/或氮化硅,也可以是氧化铝、氧化铪等高K介质,或包括高K介质与氧化硅或氮化硅的组合;所述栅极可以为多晶硅栅极,在本发明的其它实施例中,所述栅极可以为金属栅极。The gate structure 110 includes a gate dielectric layer and a gate electrode located on the gate dielectric layer. The gate dielectric layer is silicon oxide and/or silicon nitride, and may also be a high-K dielectric such as aluminum oxide or hafnium oxide. Or include a combination of high-K dielectric and silicon oxide or silicon nitride; the gate may be a polysilicon gate, and in other embodiments of the present invention, the gate may be a metal gate.
然后,如图3所示,执行步骤S2,在所述半导体衬底100表面以及所述栅极结构110顶面和侧面形成侧墙材料层120。具体的,所述侧墙材料层120包括依次层叠的第一氧化层、氮化层和第二氧化层,所述第一氧化层覆盖所述半导体衬底100表面以及所述栅极结构110顶面和侧面。其中,所述第一氧化层和所述第二氧化层例如可以为二氧化硅层,所述氮化层可以为氮化硅层。更具体的,所述侧墙材料层120可以采用等离子体化学气相沉积工艺、原子层沉积工艺、低压化学气相沉积工艺和大气压化学气相沉积工艺中的一种或者多种组合的工艺形成。本实施例优选的采用低压气相沉积工艺和原子层沉积工艺形成所述侧墙材料层120,以使所述第一侧墙材料层120的厚度均匀性较好。例如,所述第一氧化层和所述第二氧化层可以采用正硅酸乙酯(TEOS)低压气相沉积(LPCVD)工艺形成,所述氮化层可以采用原子层沉积工艺形成。Then, as shown in FIG. 3 , step S2 is performed to form a spacer material layer 120 on the surface of the semiconductor substrate 100 and the top and side surfaces of the gate structure 110 . Specifically, the spacer material layer 120 includes a first oxide layer, a nitride layer and a second oxide layer stacked in sequence. The first oxide layer covers the surface of the semiconductor substrate 100 and the top of the gate structure 110 . face and sides. Wherein, the first oxide layer and the second oxide layer may be, for example, silicon dioxide layers, and the nitride layer may be a silicon nitride layer. More specifically, the sidewall material layer 120 may be formed by one or more combinations of a plasma chemical vapor deposition process, an atomic layer deposition process, a low pressure chemical vapor deposition process, and an atmospheric pressure chemical vapor deposition process. In this embodiment, a low-pressure vapor deposition process and an atomic layer deposition process are preferably used to form the sidewall material layer 120, so that the thickness uniformity of the first sidewall material layer 120 is better. For example, the first oxide layer and the second oxide layer may be formed using a tetraethyl orthosilicate (TEOS) low pressure vapor deposition (LPCVD) process, and the nitride layer may be formed using an atomic layer deposition process.
此外,在形成所述侧墙材料层120之后可以对半导体衬底100进行快速退火,以提高侧墙材料层的致密性。本实施例中,形成所述侧墙材料层120的工艺温度可以为200℃~900℃,退火温度可以为500℃~1300℃,退火时间可以30s~100s,本实施例中的退火温度为1150℃。In addition, after the spacer material layer 120 is formed, the semiconductor substrate 100 may be rapidly annealed to improve the density of the spacer material layer. In this embodiment, the process temperature for forming the sidewall material layer 120 can be 200°C to 900°C, the annealing temperature can be 500°C to 1300°C, and the annealing time can be 30s to 100s. The annealing temperature in this embodiment is 1150°C. ℃.
接着,如图4所示,执行步骤S3,采用干法刻蚀工艺刻蚀所述侧墙材料层120,直至暴露出所述栅极结构110顶面和所述半导体衬底100表面,以在所述栅极结构110侧面形成侧墙结构130。即,所述侧墙结构130包括所述侧墙材料层120的至少一部分。所述干法刻蚀工艺例如可以为各向异性等离子体刻蚀工艺或反应离子刻蚀工艺。Next, as shown in FIG. 4 , step S3 is performed, using a dry etching process to etch the spacer material layer 120 until the top surface of the gate structure 110 and the surface of the semiconductor substrate 100 are exposed. Sidewall structures 130 are formed on the side of the gate structure 110 . That is, the sidewall structure 130 includes at least a part of the sidewall material layer 120 . The dry etching process may be, for example, an anisotropic plasma etching process or a reactive ion etching process.
具体的,刻蚀所述侧墙材料层120的方法包括:采用第一刻蚀气体刻蚀所述第一氧化层,直至暴露出所述氮化层表面(即暴露出位于所述栅极结构顶面和所述半导体衬底表面的氮化层表面),即刻蚀停止在氮化层表面;采用第二刻蚀气体刻蚀所述氮化层和所述第二氧化层,直至暴露出所述栅极结构110顶面和所述半导体衬底100表面,即刻蚀停止在所述栅极结构110顶面和所述半导体衬底100表面。由此,可以将所述栅极结构110顶面以及所述半导体衬底100表面的侧墙材料层120彻底去除,避免侧墙材料层120的残留,从而可以避免影响后续形成的金属硅化物170的均匀性。此外,由于所述侧墙材料层120均采用干法刻蚀,在刻蚀所述氮化层和所述第二氧化层时,可以避免对已刻蚀完的第一氮化层的形貌造成损伤,从而可以使后续形成的侧墙结构130具有较好的形貌,可以避免后续离子注入工艺的击穿。Specifically, the method of etching the sidewall material layer 120 includes: using a first etching gas to etch the first oxide layer until the surface of the nitride layer is exposed (that is, the surface of the nitride layer located on the gate structure is exposed). The surface of the nitride layer on the top surface and the surface of the semiconductor substrate), that is, the etching stops on the surface of the nitride layer; use the second etching gas to etch the nitride layer and the second oxide layer until all the surfaces are exposed. The top surface of the gate structure 110 and the surface of the semiconductor substrate 100 , that is, the etching stops at the top surface of the gate structure 110 and the surface of the semiconductor substrate 100 . As a result, the spacer material layer 120 on the top surface of the gate structure 110 and the surface of the semiconductor substrate 100 can be completely removed to prevent the spacer material layer 120 from remaining, thereby avoiding affecting the subsequent formation of the metal silicide 170 uniformity. In addition, since the sidewall material layer 120 is all dry etched, when etching the nitride layer and the second oxide layer, it is possible to avoid changing the morphology of the etched first nitride layer. Damage is caused, so that the subsequently formed sidewall structure 130 can have a better morphology, and breakdown of the subsequent ion implantation process can be avoided.
其中,所述第一刻蚀气体和所述第二刻蚀气体的流量均为5sccm~600sccm。如果所述第一刻蚀气体和所述第二刻蚀气体的气体流量过小,容易导致刻蚀过慢,会增加刻蚀的时间,如果所述气体流量过大,容易导致刻蚀速率的稳定性和均一性变差。因此,本实施例中优选的设置所述第一刻蚀气体和所述第二刻蚀气体的气体流量为5sccm~600sccm。所述第一刻蚀气体为氮气、氟气和氧化碳气中的至少一种,例如C4F8或者CO等;所述第二刻蚀气体为氢气、氧气和氟化碳气中的至少一种,例如,CF4或者CHF3。Wherein, the flow rates of the first etching gas and the second etching gas are both 5 sccm to 600 sccm. If the gas flow rate of the first etching gas and the second etching gas is too small, it will easily cause the etching to be too slow, which will increase the etching time. If the gas flow rate is too large, it will easily cause the etching rate to decrease. Stability and uniformity deteriorate. Therefore, in this embodiment, it is preferable to set the gas flow rate of the first etching gas and the second etching gas to 5 sccm to 600 sccm. The first etching gas is at least one of nitrogen, fluorine and oxycarbon gas, such as C 4 F 8 or CO, etc.; the second etching gas is at least one of hydrogen, oxygen and fluorocarbon gas. One, for example, CF 4 or CHF 3 .
接着,如图5所示,对暴露出的所述半导体执行离子注入工艺,以形成源区140和漏区150,所述源区140和漏区150分别位于所述栅极结构110两侧。进一步的,在执行所述离子注入工艺后,可以通过退火工艺激活所述离子注入工艺注入的离子,使离子注入工艺注入的离子扩散到栅极结构110下方的半导体衬底100中,同时,修复离子注入工艺对半导体衬底100表面造成的晶格损伤,进而形成源区140或漏区150。接着,对执行离子注入工艺后的所述半导体衬底100表面进行修复处理。所述修复处理主要是修复半导体衬底100表面的干法刻蚀损伤。具体的,所述干法刻蚀损伤主要为,在刻蚀所述侧墙材料层120的过程中,为了保证将所述栅极结构110顶面和所述半导体衬底100表面的侧墙材料层120彻底去除,需要有一定的过刻蚀,因此,在刻蚀过程中会造成半导体衬底100表面的损伤,即会形成凹陷。由此,可以采用所述修复处理修复所述半导体衬底100表面的损伤。Next, as shown in FIG. 5 , an ion implantation process is performed on the exposed semiconductor to form a source region 140 and a drain region 150 . The source region 140 and the drain region 150 are respectively located on both sides of the gate structure 110 . Further, after the ion implantation process is performed, the ions injected by the ion implantation process can be activated through an annealing process, so that the ions injected by the ion implantation process diffuse into the semiconductor substrate 100 below the gate structure 110, and at the same time, repair The ion implantation process causes lattice damage to the surface of the semiconductor substrate 100, thereby forming the source region 140 or the drain region 150. Next, a repair process is performed on the surface of the semiconductor substrate 100 after the ion implantation process is performed. The repair process mainly repairs dry etching damage on the surface of the semiconductor substrate 100 . Specifically, the dry etching damage is mainly due to the fact that during the process of etching the spacer material layer 120, in order to ensure that the spacer material on the top surface of the gate structure 110 and the surface of the semiconductor substrate 100 is Complete removal of the layer 120 requires a certain amount of over-etching. Therefore, the surface of the semiconductor substrate 100 will be damaged during the etching process, that is, a depression will be formed. Therefore, the repair process can be used to repair damage on the surface of the semiconductor substrate 100 .
进一步的,所述修复处理包括热氧化工艺、原位蒸汽生产工艺以及氮氧化工艺中的至少一种。具体的,所述热氧化工艺可以通过氧化炉或快速热退火腔室,在氧气气体下对所述半导体衬底100进行600℃至1100℃的热氧化工艺;原位蒸气生成(ISSG)工艺是在快速热退火腔室中,通入氢气与氧气,在半导体衬底100表面原位化合成水蒸汽,再与半导体衬底100表面的硅等化合形成氧化物的过程;快速热氮氧化工艺为采用工艺气体一氧化二氮,工艺温度为800℃至1300℃。例如为1000℃,退火时间为20s~160s,例如为70s,以修复所述半导体衬底100表面。其中,本实施例的修复处理优选的采用热氧化工艺。Further, the repair treatment includes at least one of a thermal oxidation process, an in-situ steam production process, and a nitrogen oxidation process. Specifically, the thermal oxidation process can be carried out in an oxidation furnace or a rapid thermal annealing chamber on the semiconductor substrate 100 under oxygen gas at a temperature of 600°C to 1100°C; the in-situ vapor generation (ISSG) process is In the rapid thermal annealing chamber, hydrogen and oxygen are introduced, water vapor is synthesized in situ on the surface of the semiconductor substrate 100, and then combined with silicon and other materials on the surface of the semiconductor substrate 100 to form an oxide; the rapid thermal nitric oxidation process is The process gas nitrous oxide is used, and the process temperature is 800°C to 1300°C. For example, the temperature is 1000° C., and the annealing time is 20 to 160 seconds, such as 70 seconds, to repair the surface of the semiconductor substrate 100 . Among them, the repair process in this embodiment preferably adopts a thermal oxidation process.
接着,如图6所示,执行步骤S4,在暴露出的所述半导体衬底100表面和所述栅极结构110顶面形成金属硅化物170。具体的,形成所述金属硅化物170的方法包括:在暴露出的所述栅极结构110顶面、所述半导体衬底100和所述侧墙结构130表面形成金属层160;对所述半导体衬底100进行退火处理,以使所述金属层160中的金属与所述栅极结构110和所述半导体衬底100中的硅反应并形成金属硅化物170;以及,对所述半导体衬底100执行清洗工艺,以去除所述栅极结构110顶面、所述半导体衬底100和所述侧墙结构130表面未反应的所述金属层160。由于,在步骤S3中,所述栅极结构110顶面和所述半导体衬底100表面的侧墙材料层120被彻底去除,因此,可以在所述栅极结构110顶面以及所述半导体衬底100表面形成表面较平整的所述金属层160,从而在后续工艺中可以形成表面较平整的金属硅化物。Next, as shown in FIG. 6 , step S4 is performed to form metal silicide 170 on the exposed surface of the semiconductor substrate 100 and the top surface of the gate structure 110 . Specifically, the method of forming the metal silicide 170 includes: forming a metal layer 160 on the exposed top surface of the gate structure 110, the semiconductor substrate 100 and the spacer structure 130; The substrate 100 is annealed so that the metal in the metal layer 160 reacts with the gate structure 110 and the silicon in the semiconductor substrate 100 to form a metal silicide 170; and, to the semiconductor substrate 100 performs a cleaning process to remove the unreacted metal layer 160 on the top surface of the gate structure 110 , the semiconductor substrate 100 and the spacer structure 130 . Since, in step S3 , the spacer material layer 120 on the top surface of the gate structure 110 and the surface of the semiconductor substrate 100 is completely removed, therefore, the spacer material layer 120 on the top surface of the gate structure 110 and the semiconductor substrate 100 can be The metal layer 160 with a relatively flat surface is formed on the surface of the bottom 100, so that a metal silicide with a relatively flat surface can be formed in subsequent processes.
具体的,可以通过物理气相沉积(PVD)工艺、化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺等在形成所述金属层160,所述金属层160的材质为钛、锆、钽、钨、锰、镍和钇中的至少一种。本实施例的金属层160优选的为两种金属以上的合金。最后,较佳地,在形成所述金属层160之前,可以先形成一粘附层,所述粘附层覆盖暴露出的所述半导体衬底100表面,在形成所述金属层160后,所述金属层160覆盖所述粘附层。所述粘附层可以增强后续沉积的金属层160与半导体衬底100(在此为源区140和漏区150)表面的粘附性,增加金属层160表面的平整度,并可用于限制后续金属层160中的金属向所述源区140和漏区150以外的地方扩散。所述粘附层包括钛层、氮化钛层、钽层及氮化钽层中的至少一层。即所述粘附层可以为钛层、氮化钛层、钽层及氮化钽层中的任一单层结构或者多层堆叠的复合结构。Specifically, the metal layer 160 can be formed through a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process, or an atomic layer deposition (ALD) process. The metal layer 160 is made of titanium, zirconium, or tantalum. , at least one of tungsten, manganese, nickel and yttrium. The metal layer 160 in this embodiment is preferably an alloy of two or more metals. Finally, preferably, before forming the metal layer 160, an adhesion layer may be formed first, and the adhesion layer covers the exposed surface of the semiconductor substrate 100. After the metal layer 160 is formed, The metal layer 160 covers the adhesion layer. The adhesion layer can enhance the adhesion between the subsequently deposited metal layer 160 and the surface of the semiconductor substrate 100 (here, the source region 140 and the drain region 150), increase the flatness of the surface of the metal layer 160, and can be used to limit subsequent The metal in the metal layer 160 diffuses outside the source region 140 and the drain region 150 . The adhesion layer includes at least one of a titanium layer, a titanium nitride layer, a tantalum layer, and a tantalum nitride layer. That is, the adhesion layer may be any single-layer structure or a multi-layer stacked composite structure among a titanium layer, a titanium nitride layer, a tantalum layer, and a tantalum nitride layer.
接着,可以采用低温快速退火工艺对半导体衬底100进行退火处理,以使所述金属层160中的金属与所述栅极结构110和所述半导体衬底100中的硅(即半导体衬底的硅区域中的硅)反应并形成金属硅化物170。本实施例中,优选的,采用含有氢气或者氮气的气体进行所述退火处理。以消除退火环境中的微量氧气,防止金属层160中的金属被氧化,从而可以进一步避免或者减少金属硅化物170表面形成缺陷,增加金属硅化物170表面形貌的平整度,由此,可以进一步提高金属硅化物170的表面均匀性。Next, a low-temperature rapid annealing process may be used to anneal the semiconductor substrate 100, so that the metal in the metal layer 160 interacts with the gate structure 110 and the silicon in the semiconductor substrate 100 (ie, the silicon in the semiconductor substrate 100). The silicon in the silicon region reacts and forms metal suicide 170 . In this embodiment, preferably, gas containing hydrogen or nitrogen is used to perform the annealing process. In order to eliminate trace amounts of oxygen in the annealing environment and prevent the metal in the metal layer 160 from being oxidized, it is possible to further avoid or reduce defects on the surface of the metal silicide 170 and increase the flatness of the surface morphology of the metal silicide 170. Thus, it is possible to further Improve surface uniformity of metal suicide 170 .
需要说明的是,在本发明的其它实施例中,由于栅极结构110的栅极不是多晶硅、单晶硅、非晶硅等材质的硅栅极,例如为金属栅极,在后续步骤S4中形成的金属硅化物170则不会在栅极结构110表面形成。It should be noted that in other embodiments of the present invention, since the gate of the gate structure 110 is not a silicon gate made of polycrystalline silicon, monocrystalline silicon, amorphous silicon, etc., but is, for example, a metal gate, in the subsequent step S4 The formed metal silicide 170 will not be formed on the surface of the gate structure 110 .
接着,对所述半导体衬底100执行清洗工艺,以去除所述栅极结构110顶面、所述半导体衬底100和所述侧墙结构130表面未反应的所述金属层160。在此,主要是去除侧墙结构130表面未反应的所述金属层160。其中,可以采用湿法清洗溶液对所述半导体衬底100执行清洗工艺,所述湿法清洗溶液例如可以为硫酸、过氧化氢、磷酸、强酸和氢氧化剂中的一种或者多种的混合溶液,也可以将清洗溶液加热到100℃的高温后,采用加热后的清洗溶液对所述半导体衬底100执行清洗工艺,以提高清洗速率从而将未反应的所述金属层160快速的去除。在此,清洗的时间可以大于20s,以彻底去除未反应的金属层160,避免其残留。Next, a cleaning process is performed on the semiconductor substrate 100 to remove the unreacted metal layer 160 on the top surface of the gate structure 110 , the semiconductor substrate 100 and the spacer structure 130 . Here, the unreacted metal layer 160 on the surface of the spacer structure 130 is mainly removed. Wherein, a wet cleaning solution may be used to perform a cleaning process on the semiconductor substrate 100. The wet cleaning solution may be, for example, one or more mixed solutions of sulfuric acid, hydrogen peroxide, phosphoric acid, strong acid and hydroxide. , you can also heat the cleaning solution to a high temperature of 100° C., and then use the heated cleaning solution to perform a cleaning process on the semiconductor substrate 100 to increase the cleaning rate and quickly remove the unreacted metal layer 160 . Here, the cleaning time can be longer than 20 seconds to completely remove the unreacted metal layer 160 and avoid its residue.
综上可见,在本发明提供的半导体器件的制造方法中,通过采用干法刻蚀所述侧墙材料层,可以避免侧墙材料层残留在所述半导体衬底上,在后续形成金属硅化物时,可以使所述金属硅化物表面的形貌平整。由此,可以避免金属硅化物表面的凹凸缺陷,从而改善所述金属硅化物表面的均匀性。进一步的,由于采用所述干法刻蚀工艺刻蚀所述侧墙材料层,相较于现有技术,不会损伤侧墙的形貌。In summary, it can be seen that in the manufacturing method of a semiconductor device provided by the present invention, by dry etching the sidewall material layer, the sidewall material layer can be prevented from remaining on the semiconductor substrate and subsequently forming metal silicide. When , the surface morphology of the metal silicide can be made smooth. As a result, uneven defects on the surface of the metal silicide can be avoided, thereby improving the uniformity of the surface of the metal silicide. Furthermore, since the dry etching process is used to etch the sidewall material layer, compared with the existing technology, the morphology of the sidewall will not be damaged.
上述描述仅是对本发明较佳实施例的描述,并非对本发明范围的任何限定,本发明领域的普通技术人员根据上述揭示内容做的任何变更、修饰,均属于权利要求书的保护范围。The above description is only a description of the preferred embodiments of the present invention, and does not limit the scope of the present invention in any way. Any changes or modifications made by those of ordinary skill in the field of the present invention based on the above disclosure shall fall within the scope of the claims.
Claims (7)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202010734076.2A CN111681961B (en) | 2020-07-24 | 2020-07-24 | Method for manufacturing semiconductor device |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202010734076.2A CN111681961B (en) | 2020-07-24 | 2020-07-24 | Method for manufacturing semiconductor device |
Publications (2)
Publication Number | Publication Date |
---|---|
CN111681961A CN111681961A (en) | 2020-09-18 |
CN111681961B true CN111681961B (en) | 2024-02-02 |
Family
ID=72438363
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202010734076.2A Active CN111681961B (en) | 2020-07-24 | 2020-07-24 | Method for manufacturing semiconductor device |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN111681961B (en) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN116344364B (en) * | 2023-05-31 | 2023-08-22 | 合肥晶合集成电路股份有限公司 | Manufacturing method of semiconductor device and semiconductor device |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102122639A (en) * | 2010-01-08 | 2011-07-13 | 中芯国际集成电路制造(上海)有限公司 | Manufacturing method of non-volatile memory |
CN102569089A (en) * | 2010-12-30 | 2012-07-11 | 中芯国际集成电路制造(北京)有限公司 | Semiconductor device forming method |
CN102983075A (en) * | 2011-09-07 | 2013-03-20 | 中芯国际集成电路制造(上海)有限公司 | Manufacturing method of semiconductor device applying stress approaching technology |
CN104465351A (en) * | 2014-11-28 | 2015-03-25 | 上海华力微电子有限公司 | Method for improving metal silicide |
CN104979292A (en) * | 2015-05-15 | 2015-10-14 | 上海华力微电子有限公司 | Method for forming different sidewall structures |
-
2020
- 2020-07-24 CN CN202010734076.2A patent/CN111681961B/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102122639A (en) * | 2010-01-08 | 2011-07-13 | 中芯国际集成电路制造(上海)有限公司 | Manufacturing method of non-volatile memory |
CN102569089A (en) * | 2010-12-30 | 2012-07-11 | 中芯国际集成电路制造(北京)有限公司 | Semiconductor device forming method |
CN102983075A (en) * | 2011-09-07 | 2013-03-20 | 中芯国际集成电路制造(上海)有限公司 | Manufacturing method of semiconductor device applying stress approaching technology |
CN104465351A (en) * | 2014-11-28 | 2015-03-25 | 上海华力微电子有限公司 | Method for improving metal silicide |
CN104979292A (en) * | 2015-05-15 | 2015-10-14 | 上海华力微电子有限公司 | Method for forming different sidewall structures |
Also Published As
Publication number | Publication date |
---|---|
CN111681961A (en) | 2020-09-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8158509B2 (en) | Method of manufacturing semiconductor device | |
TW201608605A (en) | Modification processing method and manufacturing method of semiconductor device | |
US10937661B2 (en) | Method for removing silicon oxide and integrated circuit manufacturing process | |
CN108335969B (en) | Processing method for improving the threshold voltage of TFT devices | |
CN111681961B (en) | Method for manufacturing semiconductor device | |
CN103972293B (en) | Sidewall structure, the preparation method of sidewall structure, cmos device | |
CN111489972B (en) | Semiconductor structure and forming method thereof | |
KR20050074081A (en) | Method for fabricating contact of semiconductor device | |
JP2003234325A (en) | Manufacturing method of semiconductor device | |
JP4182125B2 (en) | Manufacturing method of semiconductor device | |
CN101114589B (en) | Semiconductor device manufacturing method and manufacturing device | |
CN105742177A (en) | Method for removing virtual gate electrode dielectric layer | |
JP6065366B2 (en) | Manufacturing method of semiconductor device | |
JP2010056574A (en) | Method of manufacturing semiconductor device | |
CN102569089B (en) | Semiconductor device forming method | |
CN113745108B (en) | Semiconductor structure and method for forming the same | |
US20090117751A1 (en) | Method for forming radical oxide layer and method for forming dual gate oxide layer using the same | |
CN109300844A (en) | Fin transistor and method of forming the same | |
JP2005142549A (en) | Manufacturing method for semiconductor device | |
CN101894752A (en) | Semiconductor manufacture method | |
CN112563127B (en) | Semiconductor device and method of forming the same | |
KR101004526B1 (en) | Capacitor Formation Method of Semiconductor Device | |
JP2006108607A (en) | Insulating film forming method of semiconductor device | |
TWI431721B (en) | Method of manufacturing semiconductor device to reduce resistance of contact | |
CN108630526B (en) | Method for improving cavity of interlayer dielectric layer |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |