CN111656204A - 接收器的自适应式电压缩放 - Google Patents

接收器的自适应式电压缩放 Download PDF

Info

Publication number
CN111656204A
CN111656204A CN201880080170.1A CN201880080170A CN111656204A CN 111656204 A CN111656204 A CN 111656204A CN 201880080170 A CN201880080170 A CN 201880080170A CN 111656204 A CN111656204 A CN 111656204A
Authority
CN
China
Prior art keywords
circuit
voltage
supply voltage
monitoring
critical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880080170.1A
Other languages
English (en)
Other versions
CN111656204B (zh
Inventor
阿明·塔亚丽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kandou Labs SA
Original Assignee
Kandou Labs SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kandou Labs SA filed Critical Kandou Labs SA
Priority to CN202310315382.6A priority Critical patent/CN116204031A/zh
Publication of CN111656204A publication Critical patent/CN111656204A/zh
Application granted granted Critical
Publication of CN111656204B publication Critical patent/CN111656204B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F1/00Automatic systems in which deviations of an electric quantity from one or more predetermined values are detected at the output of the system and fed back to a device within the system to restore the detected quantity to its predetermined value or values, i.e. retroactive systems
    • G05F1/10Regulating voltage or current
    • G05F1/46Regulating voltage or current wherein the variable actually regulated by the final control device is dc
    • G05F1/56Regulating voltage or current wherein the variable actually regulated by the final control device is dc using semiconductor devices in series with the load as final control devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3004Current or voltage test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3016Delay or race condition test, e.g. race hazard test
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F1/00Automatic systems in which deviations of an electric quantity from one or more predetermined values are detected at the output of the system and fed back to a device within the system to restore the detected quantity to its predetermined value or values, i.e. retroactive systems
    • G05F1/10Regulating voltage or current
    • G05F1/46Regulating voltage or current wherein the variable actually regulated by the final control device is dc
    • G05F1/462Regulating voltage or current wherein the variable actually regulated by the final control device is dc as a function of the requirements of the load, e.g. delay, temperature, specific voltage/current characteristic
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • H04L25/0272Arrangements for coupling to multiple lines, e.g. for differential transmission
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Radar, Positioning & Navigation (AREA)
  • Electromagnetism (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Logic Circuits (AREA)

Abstract

获得周期性测试信号;由采样元件根据采样时钟对该周期性测试信号进行采样,以生成采样周期性输出,其中,所述采样元件根据调压器提供的电源电压工作,所述调压器根据电源电压控制信号提供电源电压;将所述采样周期性输出与所述采样时钟相比较,以生成时钟至Q测量结果,该时钟至Q测量结果表示与响应于采样时钟生成所述采样周期性输出相关的延时值;至少部分根据平均时钟至Q测量结果生成所述电源电压控制信号;以及将所述电源电压提供给与所述调压器连接的数据采样元件,其中,该数据采样元件为所述采样元件的复制元件,所述数据采样元件根据所述采样时钟对输入数据流进行采样。

Description

接收器的自适应式电压缩放
相关申请的交叉引用
本申请要求(i)申请号为62/711,432,申请日为2018年7月27日,发明人为ArminTajalli,名称为“接收器的自适应式电压缩放”的美国临时申请,(ii)申请号为62/683,442,申请日为2018年7月11日,发明人为Armin Tajalli,名称为“接收器的自适应式电压缩放”的美国临时申请,(iii)申请号为62/597,902,申请日为2017年12月12日,发明人为Armin Tajalli,名称为“具有判定反馈均衡功能的接收器的自适应式电压缩放”的美国临时申请以及(iv)申请号为62/597,415,申请日为2017年12月12日,发明人为ArminTajalli,名称为“具有判定反馈均衡功能的接收器的自适应式电压缩放”的美国临时申请的权益,并通过引用将其内容整体并入本文,以供所有目的之用。
参考文献
以下在先申请通过引用整体并入本文,以供所有目的之用:
申请号为15/835,648,申请日为2017年12月8日,发明人为Armin Tajalli,名称为“用于提供多级分布式判定反馈均衡功能的方法和系统”的美国专利申请,下称《Tajalli1》;
另外,出于提供历史背景信息的目的,或使描述更为清晰的目的,本申请还参考如下申请:
专利号为9,288,089,申请号为12/784,414,申请日为2010年5月20日,授权日为2016年3月15日,发明人为Harm Cronie和Amin Shokrollahi,名称为“正交差分向量信令”的美国专利,下称《Cronie》;
申请号为13/842,740,申请日为2013年3月15日,发明人为Brian Holden、AminShokrollahi、Anant Singh,名称为“芯片间通信用向量信令码的时偏耐受方法和系统及增强型检波器”的美国专利申请,下称《Holden》。
背景技术
在许多系统环境中,高速数据通信接口装置的功耗可占总功耗预算的一大部分。该功耗的一部分为通信网络物理属性决定的固定功耗,用以在预设传输线路阻抗下生成特定感应电压,以使传输信号能够在被接收器检测之前克服已知的衰减系数。剩余部分的接口功耗可由包括集成电路工艺选择、时钟速度最小化以及系统电源电压控制在内的本领域已知手段解决。
对于给定的集成电路设计和工艺,制造过程中的正常偏差使得产品工作时钟速度和电源电压各有不同,并处于一定范围内。一般情况下,产品测试能够淘汰在时钟速度和电压表现最差拐点处不足以维持系统正确运行的产品。然而,这表示,大量产品能够在更低速度和/或更低电源电压下工作的同时,满足系统整体性能要求。
发明内容
在集成电路设计和仿真过程中,需要确定电路中限制满足系统要求所需的最小时钟速度和/或电源电压的特定关键路径,然后可将组成这些关键路径的物理部件提取后复制于片上测试结构内,以在系统正常运行状态下验证其运行状况。其中,动态功率控制系统可对时钟速度和/或电源电压进行动态调节,以在所测得的运行限制条件内最大程度地减小功耗。
附图说明
图1为采用判定反馈均衡和多个并行处理级的数据接收器的一个信道的实施方式。
图2所示为图1实施方式内的关键处理路径。
图3所示为一种动态功率控制系统实施方式中关键路径实施方式的一种可测试实例。
图4所示为如图3中用于控制系统功率的动态功率控制系统。
图5所示为待施加至基础测量值上的容差和裕量。
图6所示为含功率受控的被测关键子系统的其他子系统示例。
图7至图11为根据一些实施方式的数据接收器内各种测试环境框图。
图12为根据一些实施方式的电源电压调节装置的框图。
图13为根据一些实施方式的方法流程图。
图14为根据一些实施方式的层级式动态电压缩放系统的框图。
图15为根据一些实施方式的具有动态电压缩放功能的数字电路模块的框图。
图16为根据一些实施方式的含用于控制局部调压器的带隙参考电压发生器的模拟电路模块框图。
图17为根据一些实施方式的具有动态电压缩放功能的模拟电路模块框图。
图18为根据一些实施方式的所述数字电路模块内所用的数字监测电路的电压缩放趋势线图。
图19为根据一些实施方式的模拟电路模块内所用的纯PMOS采样器和Mux/Demux模拟电路的电压缩放趋势线图。
图20为根据一些实施方式的PMOS+NMOS采样器的电压缩放趋势线图。
图21为发送和接收实例的框图,每一实例均采用四个不同电源。
图22为具有不同功率利用特性和操作特性的四个子系统的系统框图。
图23为具有不同功率利用特性和操作特性的三个子系统的系统框图。
图24为根据一些实施方式的方法2400的流程图。
具体实施方式
近年来,高速通信系统已达到每秒千兆比特的信令速率,使得各个传输单位间隔以皮秒进行计量。为了满足如此严苛的时序要求,必须通过最大程度地降低节点电容及消除不必要的处理元件来使得电路时迟最小化。在时间预算方面,即使是模拟比较器的建立时间等的次级电路特性也可能会成为重要的考量因素。
举例而言,现有数据通信接收器的判定反馈均衡(DFE)系统存储针对先前接收单位间隔的一个或多个检测数据值的历史记录值,并根据这些历史记录值计算出DFE补偿值后,将其应用于接收信号,以便于当前单位间隔的检测。出于说明目的,简而言之,该计算可包括:将每一个先前单位间隔的数据值与预设比例缩放因子相乘;将每一个该比例缩放结果(每一者均表示前后相继的先前单位间隔对当前接收信号的潜在影响)相加,以生成表示所有此类先前单位间隔的累计预测影响的复合DFE补偿值。在典型的接收器设计中,这一DFE补偿值与当前接收信号输入结合,以产生更为准确地表示接收数据值的校正信号,该校正信号随后可进行时间采样和幅度采样,以获得检测接收数据值。
本领域技术人员可意识到的是,按照上述方法产生的DFE补偿值仅在先前单位间隔的数据值检测完成后才能完全确定。因此,随着数据速率的增加,必将在某一点上使得生成所述DFE补偿值的第一项所需的信息(即先前单位间隔的接收数据值)在时间上无法应用于下一单位间隔的检测。实际上,在当前实践中使用的最高数据速率下,由于单个数据值所需的检测时间可对应于多个单位间隔的时长,因此这一情形可发生于多个先前单位间隔。因此,针对一个或多个最近单位间隔,各实施方式中一般放弃这一“闭环”式DFE方法,而是利用“开环”法或“推断”法生成此类最近单位间隔的DFE补偿值的一个或多个元素。
图1所示为具有针对一个先前接收单位间隔的推断式DFE功能的数据接收器的一种实施方式。该例包括接收器前端(110和120)以及针对一个接收数据比特(130,140,150,160)的完整数据路径。完整的接收器实施方式一般与其他数据比特的数据路径共享所述单个前端。在一些实施方式中,既可在多个数据路径之间共享DFE计算子系统170和时钟数据恢复子系统180,也可由特定数据路径独享此两子系统。
在图示非限制性示例中,从通信信道中获取四个接收线路信号,这些信号可代表两对差分信号,或者代表传输以如《Cronie》中所述的正交差分向量信令码(ODVS)编码的三个数据比特的一个四线数据流。如《Holden》中所述,连续时间线性均衡器110对所述接收线路信号进行频率依赖性放大,而多输入比较器(MIC)120可选地通过将放大线路信号组合而解开ODVS编码并获得检测数据信号。在差分接收实施方式中,每一个此类MIC均具有两个有效输入并在功能上作为差分线路接收器,而在单端接收器实施方式中,直接对各个线路信号实施接收操作,免于使用任何MIC功能。
一种此类实施方式的工作传输单位间隔约为35皮秒,所对应的数据速率约为28千兆波特(GBd)。为了支持此类数据速率,所述例示接收器在每一条接收信号数据路径中设置两个并行处理级130和140,每一个该处理级对在交替相隔单位间隔内从一对差分线路或ODVS子信道接收的数据进行检测。在所述数据速率下,该交替工作的并行处理器使得每一处理级拥有两个单位间隔或70皮秒的时间对每一接收数据比特进行捕获和检测。假设所使用的推断式DFE处理级的数目为一个,如想实现正确操作,接收数据比特必须在下一处理级周期结束之前实现完全检测并能够供该下一处理级所用,从而成为接收器操作的关键路径。关于这一例示实施方式的更多细节,请见《Tajalli 1》。
图2所示为所述关键路径的元件,包括:一条从数据输入至检测器输出的接收处理链;以及随后在下一处理级内利用检测数据值选择推测式检测结果的元件。
图3所示为可对所述关键路径子系统进行测试的测试结构的一种实施方式。其中,在生成310合成输入数据流后,将其提供给待测关键路径子系统320,并通过对该待测子系统的输出进行检验330以确定所述关键路径是正确运行还是不符合规格要求。该结果335用于使动态功率控制器340了解,是否可以以牺牲部分性能为代价,通过调节所述待测子系统的功率345(或者,在另一实施方式中,子系统时钟等其他变量)而降低功耗。在实际实施方式中,所述关键路径待测子系统可包括图2所示的足以对系统实际工作特性进行仿真的处理元件分组。
图4所示为动态测试环境410(如以上针对图3所述的环境)的一种实施方式,该实施方式允许动态功率控制器420将测试环境410和工作环境430(测试环境410为工作环境430的可测试替代物)调节至更低的功耗值。
在实际操作中,系统可包括一个或多个具有动态功率控制功能的子系统,每一个该子系统均可具有独有的关键路径,并在子系统功率调节过程中,传播速度和信号切换阈值等的关键操作参数可具有不同的响应特性。在一些实施方式中,此类不同响应特性还可取决于工作温度和集成电路工艺制造特性。如此,可使得测试环境、测试条件、输出验证项目等存在多种,而该多种因素既可共同决定单项调整,也可分别决定多项不同的调整。举例而言,图5所示系统包括三种不同的功率控制域,这些控制域可分别代表模拟子系统、非速度关键型数字子系统以及速度关键型数字子系统。如510所示,外部调压器REG在特定工艺和温度条件下,对测试电路在工作电压VDDJ下的行为表现特性进行监测。与此同时,支持该操作的合适内部电压必须包括用于补偿器件老化的安全系数、内部金属化电力分配结构与关键电路之间的内部I2R压降以及针对噪声容差、部件容差、测量容差等的安全裕量。类似地,为了解决集成电路内部电力分配结构与提供受控电压的外部电力系统之间的其他因素,还必须设置额外的安全系数或裕量。如510所示,这些额外安全系数或裕量可包括电源噪声、封装I2R损耗、高度电路金属化I2R损耗以及附加安全裕量。
图6所示为功率控制域及例示可测试关键路径子系统的其他示例。如610所示,电源电压VDDH(“VDD高”)外部调节至0.9伏,以向需要满足特定输出要求的输出驱动器供电。类似地,如620所示,电源电压VDDA(“VDD模拟”)响应晶体管阈值电压的直接测量结果来进行调节。如630所示,VDDD(“VDD数字”)的调节目的在于保证高速数字电路的操作可靠性。虽然该高速数字电路图示为环形振荡器,但这并不构成限制。在每一图示实施例中,图中所示测量和控制环路均设有图5所述安全性和测量容差控制裕量。类似地,图21所示为采用相互独立的“VDD模拟”、“VDD数字”、“VDD高”及“VDD支持”电源的系统。
图7为取自《Tajalli 1》的接收器实施方式中的例示测试电路框图,所述接收器实施方式在K1+和K1-的推测式数据采样路径中分别设置钟控积分器710和720和多输入求和锁存器730和740。与图2实施方式一致,可以通过降低提供给所述积分器和多输入求和锁存器的电源电压的方式减小功率,从而增大作为采样操作启动条件的时钟边沿与例如经路径710,730,735,750输出的有效数据结果之间的延时量。
图8为一种替代测试环境的框图,其中,对上述时间约束条件进行直接测量,并不间接确认是否获得了正确的时序结果(即有效数据结果)。其中,在向待测关键路径子系统820同时提供测试时钟源805和测试数据源810后,延时测量单元830接收820的输出,并测量其相对于起始测试时钟的处理延时量。如果该延时量处于可接受范围内,可指示动态功率控制器840降低电源电压。如果所述延时量未处于可接受范围内,则可以类似方式增大电压。
在一些实施方式中,延时测量单元830包括延时锁定环、斜升采样(Ramp-and-Sample)电路等的时间-电压转换器或相对于固定或预设延时参考的通过/不通过比较元件。
图9所示为基于《Tajalli 1》接收器的测试环境。数据采样元件910包括钟控积分器和多输入求和锁存器。与图2实施方式类似,可以通过降低电源电压的方式减小功率,从而增大采样时钟边沿与结果输出Q之间的延时量。在图9中,该延时量由延时锁定环920测量,而该延时锁定环图示为对910的电源电压Vdd进行控制。
图10所示为另一数据接收器替代实施方式。如图所示,钟控积分器1010和设置/重置锁存器1020之间设有可设置或调节的电容性负载1040。DLL 1030将输出结果Q的时序与采样时钟进行比较,并以其测量结果对1040进行调节。
如以上针对上述附图所述,在该例中,DLL 1030通过元件1010和1020测量从时钟至Q的处理延时量,该延时量包括1040的负载效应。根据1030的检测结果,当所测延时量小于所需量时,增大1040的值;而当所测延时量大于所需量时,减小1040的值。此类测量和部件调节操作既可独立于前述电源电压调节操作,也可与之结合。
图11为根据一些实施方式的测试环境的框图。如图所示,图11可包括与《Tajalli1》中所述数据采样元件类似的复制采样元件1105。在一些实施方式中,可向复制采样元件1105的输入端提供周期性测试信号。该周期性测试信号由按合适方式设置的信号衰减器,根据采样时钟CK或其他周期性控制速率信号发生器产生。在此类实施方式中,可通过衰减1107所述采样时钟的方式生成所述周期性测试信号,并将该周期性测试信号提供给复制采样元件1105。确切的衰减量可取决于所需的敏感度。在一种非限制性示例中,如果所述周期性测试信号测试时的检测电压为1mV,则可通过将所述采样时钟衰减1000倍的方式生成所述周期性测试信号,并将其提供给所述复制采样器。
复制采样元件1105可通过对所述周期性测试信号进行采样而生成已引入一定量的信号延时值的周期性输出Q。其中,可通过鉴相器测量所述采样时钟与复制采样元件1105的输出Q之间的延时量。如图所示,图11中包括用于产生延迟后采样时钟CK_Delay的延时元件。此类实施方式可确保所述周期性测试信号在跃迁之后,而非恰在跃迁边沿处进行采样。在一些实施方式中,采用异或(XOR)鉴相器1110,而且该鉴相器的输出可与XOR(CK,Q)成正比。在一种非限制性实施例中,时钟周期为80ps,而且在1mV下的时钟至Q延时量设计为不大于60ps。在此类情形中,所述XOR鉴相器在锁定条件下的时钟至Q的输出结果为20ps的高电平和60ps的低电平(在图11中示为两个10ps区段和两个30ps区段),即例如25%的占空比。在图11中,该占空比可由敏感度可编程电压Vsense设置,其值例如为图11中的0.25V。需要注意的是,Vsense可在将工艺偏差等因素考虑在内的情况下,根据所需敏感度预先设置,并可因温度波动和老化而动态更新。在一些实施方式中,Vsense可以为0.5V。如果不满足此类条件,则可逐渐增大或减小用于生成VDDA的开关式调压器,直至达到所需的时钟至Q的延时值。在图11中,可通过以环路滤波器对鉴相器1110的输出进行滤波并相对于参考电压对其进行采样的方式,向数字计数器1115提供递增/递减信号。测试电路可通过对时钟至Q的测量结果的占空比进行分析来确定是否需要增大或减小提供给采样元件1105的电源电压VDDA。数字计数器1115保持递增/递减信号的平均值,并控制数模转换器1120,以通过DC/DC调压器1125调节电源电压VDDA。
层级式动态电压缩放系统
图14为根据一些实施方式的层级式动态电压缩放系统的框图。如图所示,该层级式动态电压缩放系统包括数字电路模块1402和两个模拟电路模块1404和1406。这些数字和模拟电路模块中的每一者均与位于印刷电路板(PCB)上的相应开关式调压器相连,并可包括根据各种因素分组的任务模式电路。在至少一种实施方式中,每一个电路模块均包括至少部分按照多个测试拐点处的电压供应要求分组的任务模式电路。此类供应要求可量化为成组任务模式电路中的每一个任务模式电路的电压缩放趋势线。该电压缩放趋势线可对应于对决定每一电路为了在节省功率的同时保持足够电路敏感度而允许将电源电压减小的幅度的各种工艺/波动拐点的分析。在其他实施方式中,此类趋势线可对应于针对一个集成电路器件的特定工艺特性的上述分析。类似地,在一些实施方式中,此类分析可针对所有预期工作温度进行。在其他实施方式中,所述分析可针对当前工作温度。在图14中,数字电路模块1402与产生电源电压VDDD的开关式调压器连接,模拟电路模块1404与产生电源电压VDDH的开关式调压器连接,模拟电路模块1406与产生电源电压VDDA的开关式调压器连接。在以下示例中,假设芯片上的模拟和数字电路模块能够控制芯片外的所述三个开关式调压器。
图15为根据一些实施方式的所述层级式动态电压缩放系统的数字电路模块1402的框图。如图15所示,数字电路模块1402包括多个电路模块“C”,这些电路模块可对应于收发系统内使用的各种数字电路。例如,数字电路“D”可采取环形振荡器或各种其他类型数字电路的形式。如图所示,每一个数字电路均构造为接收开关式调压器的电压VDDD。图15还包括用于接收VDDD的数字监测电路。在一些实施方式中,该数字监测电路由与其中一个数字电路“D”的复制电路对应的数字电路构成。在一些实施方式中,该数字监测电路为所述多个数字电路“D”中敏感度最高的电路的复制电路。在至少一种实施方式中,该数字监测电路为例如由CMOS反相器构成的环形振荡器电路。在此类实施方式中,所述环形振荡器电路从开关式调压器接收电压VDDD,并在相应的频率下工作。所述数字监测电路可利用频率检测器分析所述环形振荡器电路的输出,并相应提供控制信号至所述开关式调压器,以增大或减小VDDD。在一些实施方式中,工艺偏差和/或温度波动可导致不同芯片的环形振荡器的操作产生轻微差异,而所述动态数字电压缩放电路1402可通过对其自身进行监测而降低功耗。此外,老化会导致电路元件的速度变慢,因此通过确保所述数字监测电路在图示vdda max和vdda min曲线所确定的裕量内工作,可以解决随时间发生的此类波动问题。
图18所示为根据一些实施方式表示环形振荡器在各个拐点处发生的多次波动的电压缩放趋势线。在图18中,分别针对标准阈值电压(SVT)晶体管、低阈值电压(LVT)晶体管以及超低阈值电压(ULVT)晶体管,对环形振荡器进行电压缩放趋势线仿真。此外,对于每一种类型的晶体管,还进一步根据小宽度晶体管(X1)和大宽度晶体管(X4)这两种,对环形振荡器进行仿真。这六条监测电路曲线对应于电压缩放趋势线,该电压缩放趋势线确定了在大幅降低功耗的同时保持足够的电路性能和敏感度的各个拐点处的电源电压VDDD。“vddamax”和“vdda min”曲线表明了保证数字监测电路能够可靠工作的裕量。通过将动态缩放电压VDDD在每一拐点处保持于“vdda min”曲线上方,可使所述监测电路具有可靠的工作性能(从而使得每一个数字电路“D”也同样具有可靠的工作性能)。此外,通过将动态缩放电压VDDD保持于“vdda max”曲线下方,可使所述数字监测电路的功耗小于预设阈值。在一些实施方式中,可以通过手动调节提供给所述数字监测电路的电源电压并观察该数字监测电路的输出和功耗情况的方式,生成所述“vdda max”曲线和“vdda min”曲线。然而,在电路运行过程中,电路可设置为对VDDD进行分析,并按照上述方式,通过提供给开关式调压器的控制信号,对VDDD进行周期性调节。
图16为根据一些实施方式的模拟电路模块1404的框图。模拟电路模块1404可包括可由驱动器、压控振荡器(VCO)及锁相环(PLL)等电路组成的模拟任务模式电路“A1~A4”模块。在一些实施方式中,任务模式电路“A1~A4”可根据多个测试拐点处的电源电压要求进行分组。其中,电压缩放趋势线(例如,图18~图20所示的电压缩放趋势线)可有助于此类分组操作。该组任务模式电路可包括对工艺偏差的敏感度不及上述数字电路的电路以及下述采样器等更为敏感的模拟电路。如图所示,模拟电路模块1404包括与VDDH直接连接的一组模拟任务模式电路“A1”和“A2”以及与局部片上线性调压器连接的模拟电路“A3”和“A4”。图中所示模拟任务模式电路“A1~A4”的数目仅出于说明目的,不应视为构成任何限制。当模拟电路模块1404内并非所有模拟电路“A1~A4”均要求开关式调压器提供较大的VDDH电压时,此类层级式模拟电路模块1404较为有益。在一些实施方式中,与VDDH连接的模拟电路“A1”和“A2”可以为通过较大电压将信号驱入总线的输出驱动器,而与线性调压器相连的模拟电路“A3”和“A4”可以为使用较小电压的压控振荡器(VCO)或锁相环(PLL)电路。在一些实施方式中,所述线性调压器也可向更为敏感的电路提供低噪声电源电压。模拟电路模块1404还包括与用于生成一个或多个参考电压的参考电压发生器REF连接的两个比较/控制电路1602和1604。如图所示,比较/控制电路1602与VDDH直接连接,而比较/控制电路1604与所述线性调压器连接。在一些实施方式中,比较/控制电路1602用于在接收VDDH并从带隙参考电压发生器Ref接收参考电压Vrefl后,随之向开关式调压器提供控制信号,以将VDDH与带隙参考电压发生器Ref提供的电压对齐。类似地,比较/控制电路1604用于在从线性调压器接收电压并另外接收参考电压Vref2后,随之将从线性调压器接收的电压与Vref2相比较,并进而随之向线性调压器提供控制信号,以将所述线性调压器电压与Vref2对齐。
图16中的此类比较控制监测电路可用于消除可因工艺偏差、温度波动及老化而发生的“IR”压降。在图16中,将模拟任务模式电路“A1~A4”连接至相应调压器的迹线中的任何电阻均可因该迹线内流动的电流而导致调压器与模拟电路之间发生压降(V=IR)。因此,所述比较/控制电路可对其相应调压器的输出进行分析(经历类似的IR压降)进行分析,并可控制调压器增大或减小电压,从而使得所述监测电路与工作模拟电路“A1~A4”获得所需电压。
图17为根据一些实施方式的采用动态电压缩放的模拟电路模块1406的框图。与图16的模拟电路模块1404类似,模拟电路模块1406包括至少一个片上线性调压器,用于缩放从片外开关式调压器接收的VDDA。如图17所示,模拟电路模块1406包括模拟任务模式电路“A5~A8”,这些模拟任务模式电路可例如包括采样器、解复用器(DEMUX)、复用器(MUX)及可变增益放大器(VGA)。此外,模拟电路模块1406包括监测电路“M1”和“M2”,这些监测电路与相应比较/控制电路连接,以对相应调压器进行调节。在一些实施方式中,与VDDA连接的模拟任务模式电路“A5”和“A6”可包括采样器、DEMUX、MUX、VGA等的模拟电路,而与片上线性调压器连接的模拟任务模式电路“A7”和“A8”包括VCO和使用低于VDDA的电源电压的其他模拟电路模块。
在一些实施方式中,由于采样器的路径为所述模拟电路模块中最关键的路径,因此监测电路“M1”可对应于采样器电路路径。因此,只要确保采样器正确运行,即可确保模拟电路模块中与VDDA连接的所有其他模拟电路“A5”和“A6”同样正确运行。需要注意的是,在一些实施方式中,除了采样器之外,监测电路还可用于测量多个关键电路路径。在此类实施方式中,可将各个输出组合后用于控制开关式调压器(或局部线性调压器)。此类动态调节可例如响应温度波动的发生而发生。具体而言,第一关键电路路径可对低温更为敏感,因此当工作温度较低时,可选用此类关键电路路径的测量结果提供控制信号。此外,第二关键电路路径可在高温下更为敏感,可选用该第二关键电路路径的测量结果生成用于更新相应调压器的控制信号。在一些实施方式中,监测电路中所述第一和第二关键电路路径之间的选择可通过比较多个关键路径中的每一个关键路径的所需电源电压并选择最高所需电压而选出最差情形关键路径的方式动态完成,从而保证余下的关键电路路径具有足够的工作裕量。
在图17中,监测电路“M1”可以为与模拟任务模式电路“A5”和“A6”当中一者对应的采样器复制电路,并与VDD相连。此类监测电路即图6所示的监测电路620。如图6所示,监测电路620包括复制采样器625。复制采样器625用于接收由VDDS产生的固定电流(Islicer),VDDS可以为足以良好地表示所需采样器速度和敏感度的某个外部电源,如3.3V电源。通过Islicer内部生成VDDA估计值VDDAestim后,将该估计值与开关式调压器产生的VDDA实际值相比较,然后由对VDDA与VDDAestim进行比较的DIG CTL生成控制信号,并将其提供给所述开关式调压器,以对VDDA进行调节,直至VDDA与VDDAestim相匹配。与图11所示监测电路类型相比,此类实施方式可更加易于控制。
在一些实施方式中,“M1”和所述比较/控制电路可对应于以上结合图11所述的实施方式。在此类实施方式中,“M1”可以为例如用作模拟电路“A5”且接收VDDA的采样器电路的复制电路,在该具体实施方式中由虚线表示。“M1”可用于例如从VDDS获得用于设置所需敏感度水平的敏感度可编程电压(如0.25V)。“M1”还从用于生成电源电压VDDA的开关式调压器获得该电源电压VDDA。在此类实施方式中,复制采样器“M1”作用于周期性测试信号,并由VDDA和所述敏感度可编程电压供电,以通过数字计数器1115和DAC 1120生成控制信号,该控制信号提供给开关式调压器1125,以对VDDA进行更新,直至所述比较电路的DC输出与所述敏感度可编程电压相匹配。
在至少一种替代实施方式中,监测电路可不采用复制采样器1105,而是可对“任务模式”采样器(例如模拟电路“A5”可以为该任务模式采样器)的输出进行监测。在此类实施方式中,监测电路“M1”可包括图11所示的延时元件和异或门1110,并可利用设置至所需敏感度的敏感度可编程电压分析经低通滤波后的输出。
图19波形图除了所设计的波动裕量曲线(vdda_max_slicer和vdda_rnin_slicer曲线)之外,还示出了采样器(采用PMOS门)和Mux/Demux监测电路的电压缩放趋势线。如上所述,工作于最为敏感的关键电路路径的电路可选为监测电路,而从图19中可看出,该电路可确定为所述采样器电路。如Mux/Demux电压缩放趋势线所示,对于电压缩放趋势线上的任何给定拐点,采样器均采用高于Mux/Demux电路的电源电压VDDA,从而使得Mux/Demux电压缩放趋势线顺应采样器电压缩放趋势线。在一些实施方式中,“顺应”可表示,在最关键路径的电压缩放趋势线的指示下,使用高于相应电路模块内任何其他电路路径的电源电压。由于Mux/Demux电压缩放趋势线在任何给定拐点处均使用低于采样器的电源电压VDDA,因此敏感度更低。监测电路M2可按照类似方式工作,并可包括模拟电路“A7”和“A8”当中的一者或多者的复制电路(如VCO),并可提供用于调节局部线性调压器的电源电压的控制信号。假使采样器和Mux/Demux监测电路之间发生交叉,则在任何给定的工作条件下,根据所测采样器性能和Mux/Demux监测电路性能当中哪一者所需要的电源电压更高,这两者当中的任何一者均可用于调节电源电压。
图20为采用NMOS和PMOS电路的采样器分别在高低互连电阻(R)和高低互连电容(C)拐点RCHH和RCLL下连接快/快(FF)、快/慢(FS)、慢/快(SF)、慢/慢(SS)等各工艺拐点的电压缩放趋势线波形图。如图所示,该电压缩放趋势线除了在FF_RCHH、SS_RCHH及SF_RCLL拐点处趋近采样器裕量线之外,剩余部分均顺应max和min采样器裕量线,处于两者之间。由于纯PMOS采样器的电压缩放趋势线如图19所示表现更好,因此可优选纯PMOS采样器。
当按上述实施方式将不同电路分组于一起时,可以将包括给定电路所使用的电源电压的大小、该电路的连接各工艺拐点和温度拐点的电压缩放趋势线(并有可能根据其中最为常见/重要的拐点进行电路分组)以及该电路的类型(数字或模拟)在内的多项因素考虑在内。此类分组操作例如如图22和图23所示。除了上述之外,还可考虑其他因素。如上所述,在其他实施方式中,可针对具体集成电路实例的特定工艺特性,实施此类分析。在其他实施方式中,还可对特定温度施加电源电压缩放方面的限制条件。其中,电压缩放调节可在正常操作过程中连续进行,也可在正常操作过程中周期性进行,也可在正常操作中断过程中周期性进行,也可作为一次性初始化或启动过程的一环进行。
电源电压的调节既可基于将在统计学上有显著意义数目的集成电路实例的预期工艺控制波动考虑在内的预定计算操作,也可针对单个器件的特定操作特性。其中,所述计算操作可以为数值计算操作、数字计算操作或模拟域计算操作。在一些实施方式中,所述计算操作可部分或全部使用从一个或多个查找表读取的预先计算元素。
在一些实施方式中,监测电路可用于测量关键路径的电路性能,并相应调节动态调节电源电压,直至该动态调节电源电压可满足电路操作要求。该监测电路可用于通过向动态调节电源电压提供波动裕量来保证电路例如满足针对温度波动的操作要求。随后,该监测电路可以关闭,以节省电力。在一些实施方式中,所述监测电路可周期性地重新启动,以重新测量所述关键路径的电路性能,并且可对动态调节电源电压进行进一步的调节。
所述波动裕量可对应于待加至所述动态调节电源电压上的固定电压,如0.05~0.1V。或者,所述波动裕量也可以为通过加至所述动态调节电源电压上来使得关键路径的电路性能满足要求的裕量百分比,如10%。
图13为根据一些实施方式的方法1300的流程图。如图所示,方法1300包括:获取1302周期性测试信号;以及由采样元件1105根据采样时钟ck对所述周期性测试信号进行采样1304,以生成采样周期性输出Q,其中,采样元件1105根据调压器1125提供的电源电压VDDA工作,调压器1125根据电源电压控制信号提供电源电压VDDA。将采样周期性输出Q与采样时钟ck比较1306,以生成时钟至Q测量结果,该时钟至Q测量结果表示与响应于采样时钟ck生成采样周期性输出Q关联的延时值。所述电源电压控制信号至少部分根据平均时钟至Q测量结果生成1308,而所述电源电压提供1310给与调压器1125相连的数据采样元件(未图示),所述数据采样元件为采样元件1105的复制元件,所述数据采样元件根据所述采样时钟对输入数据流进行采样。
在一些实施方式中,所述周期性测试信号根据所述采样时钟生成。在此类实施方式中,所述周期性测试信号可以为所述采样时钟的衰减形式。在一些实施方式中,所述采样时钟具有相对于所述周期性测试信号的延迟。
在一些实施方式中,生成所述电源电压控制信号包括:将所述平均时钟至Q测量结果与预设工作阈值相比较。在此类实施方式中,所述比较可通过将所述时钟至Q测量结果的占空比与所述预设工作阈值相比较的方式完成。在一些实施方式中,所述预设工作阈值对应于25%的占空比。
或者,将所述平均时钟至Q测量结果与预设工作阈值相比较可包括:将所述时钟至Q测量结果的平均值与所述预设工作阈值相比较。在此类实施方式中,所述预设工作阈值对应于平均值0.25。
在一些实施方式中,将所述采样周期性输出与所述采样时钟相比较包括:在所述采样周期性输出与采样时钟之间实施相位比较。在此类实施方式中,该相位比较由异或(XOR)相位比较器1110实施。在一些实施方式中,将所述相位比较结果提供给环路滤波器。
在一些实施方式中,数字模块用于通过测量所示延时是否过大或过小的方式,控制所述电源电压。当若干次测量的结果表明所示延时过大,则所述数字模块命令DAC将输出电压增大一个比特。DAC的增大表示DC/DC转换器的增大。图12所示为此类用于过滤比较结果且通过更新DAC而调节电源的实施方式。
图24为根据一些实施方式的方法2400的流程图。如图所示,方法2400包括:根据相应开关式调压器的动态调节电源电压,对监测电路的性能进行测量2402,该监测电路表示作用于收发信号处理路径上的一组相应任务模式电路,该一组相应任务模式电路根据多个测试拐点处的电压供应要求分组。该方法还包括:根据所述监测电路的性能测量结果生成2404控制信号,并根据所生成的控制信号,调节2406所述相应开关式调压器的动态调节电源电压。
在一些实施方式中,所述监测电路的性能测量结果对应于所述一组任务模式电路内关键电路路径的测量结果。在一些此类实施方式中,所述关键电路路径对应于多个关键电路路径中的最差情形关键电路路径。所述控制信号可根据与给定操作条件相关联的最差情形关键电路路径动态生成。在一些实施方式中,该方法还包括:通过比较所述多个关键电路路径的性能测量结果来确定所述最差情形关键电路路径。
在一些实施方式中,所述方法还包括:将所述控制信号提供给对所述相应开关式调压器的动态调节电源电压进行调节的控制电路。在一些实施方式中,所述相应开关式调压器的动态调节电源电压还根据波动裕量调节。该波动裕量可以为加至所述相应开关式调压器的动态调节电源电压上的恒压分量。或者,该波动裕量可对应于施加于所述相应开关式调压器的动态调节电源电压上的百分比裕量。在一些实施方式中,响应操作条件的变化,对所述监测电路的性能进行周期性测量。

Claims (20)

1.一种装置,其特征在于,包括:
一组外部开关式调压器,每一个开关式调压器均提供相应的动态调节电源电压;
一组电路模块,每一个电路模块均与所述一组外部开关式调压器中的相应的开关式调压器连接,并且包括根据多个测试拐点处的电压供应要求来进行分组的相应的一组任务模式电路,所述一组电路模块包括至少两个模拟电路模块,每一个电路模块均包括:
用于通过相应的所述开关式调压器的所述动态调节电源电压作用于收发信号处理路径上的相应的所述一组任务模式电路;以及
代表相应的所述一组任务模式电路的监测电路,所述监测电路用于根据所述监测电路所测量的性能提供调节所述动态调节电源电压的控制信号。
2.如权利要求1所述的装置,其特征在于,所述监测电路所测量的性能对应于相应的所述一组任务模式电路中的关键电路路径的性能。
3.如权利要求2所述的装置,其特征在于,所述监测电路用于测量多个关键电路路径的性能,其中根据所述多个关键电路路径中的最差情形关键电路路径的测量结果来提供所述控制信号。
4.如权利要求3所述的装置,其特征在于,所述最差情形关键电路路径与所述多个测试拐点中的相应的测试拐点相关联。
5.如权利要求3所述的装置,其特征在于,所述监测电路用于通过比较所述多个关键电路路径的所测量的性能来确定所述最差情形关键电路路径。
6.如权利要求1所述的装置,其特征在于,还包括用于接收所述控制信号以及调节相应的所述开关式调压器的所述动态调节电源电压的控制电路。
7.如权利要求6所述的装置,其特征在于,所述控制电路还用于根据波动裕量调节相应的所述开关式调压器的所述动态调节电源电压。
8.如权利要求7所述的装置,其特征在于,所述波动裕量为加至相应的所述开关式调压器的所述动态调节电源电压上的恒压分量。
9.如权利要求7所述的装置,其特征在于,所述波动裕量对应于施加至相应的所述开关式调压器的所述动态调节电源电压上的百分比裕量。
10.如权利要求1所述的设备,其特征在于,所述监测电路应响应操作条件的变化而周期性启动。
11.一种方法,其特征在于,包括:
根据相应的开关式调压器的动态调节电源电压测量监测电路的性能,所述监测电路代表作用于收发信号处理路径上的相应的一组任务模式电路,相应的所述一组任务模式电路按照多个测试拐点上的电压供应要求来进行分组;
根据所述监测电路所测量的性能,生成控制信号;以及
根据所生成的控制信号,更新相应的所述开关式调压器的所述动态调节电源电压。
12.如权利要求11所述的方法,其特征在于,所述监测电路所测量的性能对应于所述一组任务模式电路中的关键电路路径的测量结果。
13.如权利要求12所述的方法,其特征在于,所述关键电路路径对应于多个关键电路路径中的最差情形关键电路路径。
14.如权利要求13所述的方法,其特征在于,所述控制信号是根据与给定操作条件相关的所述最差情形关键电路路径动态生成的。
15.如权利要求14所述的方法,其特征在于,还包括:通过比较所述多个关键电路路径的所测量的性能来确定所述最差情形关键电路路径。
16.如权利要求11所述的方法,其特征在于,还包括:将所述控制信号提供至用于调节相应的所述开关式调压器的所述动态调节电源电压的控制电路。
17.如权利要求11所述的方法,其特征在于,相应的所述开关式调压器的所述动态调节电源电压还根据波动裕量来进行调节。
18.如权利要求17所述的方法,其特征在于,所述波动裕量为加至相应的所述开关式调压器的所述动态调节电源电压上的恒压分量。
19.如权利要求17所述的方法,其特征在于,所述波动裕量对应于施加至相应的所述开关式调压器的所述动态调节电源电压上的百分比裕量。
20.如权利要求11所述的方法,其特征在于,响应操作条件的变化来周期性测量所述监测电路的所述性能。
CN201880080170.1A 2017-12-12 2018-12-12 接收器的自适应式电压缩放 Active CN111656204B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310315382.6A CN116204031A (zh) 2017-12-12 2018-12-12 用于动态调节电源电压的装置和方法

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201762597902P 2017-12-12 2017-12-12
US201762597415P 2017-12-12 2017-12-12
US62/597,902 2017-12-12
US62/597,415 2017-12-12
US201862683442P 2018-06-11 2018-06-11
US62/683,442 2018-06-11
US201862711432P 2018-07-27 2018-07-27
US62/711,432 2018-07-27
PCT/US2018/065282 WO2019118642A1 (en) 2017-12-12 2018-12-12 Adaptive voltage scaling of receiver

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310315382.6A Division CN116204031A (zh) 2017-12-12 2018-12-12 用于动态调节电源电压的装置和方法

Publications (2)

Publication Number Publication Date
CN111656204A true CN111656204A (zh) 2020-09-11
CN111656204B CN111656204B (zh) 2023-04-14

Family

ID=65139139

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880080170.1A Active CN111656204B (zh) 2017-12-12 2018-12-12 接收器的自适应式电压缩放
CN202310315382.6A Pending CN116204031A (zh) 2017-12-12 2018-12-12 用于动态调节电源电压的装置和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310315382.6A Pending CN116204031A (zh) 2017-12-12 2018-12-12 用于动态调节电源电压的装置和方法

Country Status (5)

Country Link
US (3) US10983587B2 (zh)
EP (2) EP3724670B1 (zh)
KR (2) KR102542640B1 (zh)
CN (2) CN111656204B (zh)
WO (1) WO2019118642A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220130667A (ko) * 2019-10-18 2022-09-27 미니마 프로세서 오와이 마이크로 전자회로 테스트용 처리 경로의 여기를 구성하기 위한 방법, 장치 및 컴퓨터 프로그램 제품
KR20210064746A (ko) * 2019-11-26 2021-06-03 삼성전자주식회사 복수의 리니어 레귤레이터를 이용하여 증폭기에 전압을 공급하는 방법 및 장치

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1141436A (zh) * 1995-06-01 1997-01-29 罗姆股份有限公司 电池不足检测装置、具有该装置的电源电路以及具有该电源电路的携带用机器
CN1918476A (zh) * 2004-02-13 2007-02-21 皇家飞利浦电子股份有限公司 用于测试锁相环的方法和器件
CN101663629A (zh) * 2007-03-01 2010-03-03 拉姆伯斯公司 用于电子系统的优化电源
CN102142831A (zh) * 2010-01-29 2011-08-03 英飞凌科技股份有限公司 片上自校准延迟监控电路
US8370654B1 (en) * 2009-03-26 2013-02-05 Marvell Israel (M.I.S.L) Ltd. AVS-adaptive voltage scaling
CN103141029A (zh) * 2010-09-30 2013-06-05 意法爱立信有限公司 采样器电路
ITMI20112412A1 (it) * 2011-12-28 2013-06-29 Stmicroelectronics Private Ltd Regolatore di tensione con capacita' di by-pass per scopi di test
CN103425222A (zh) * 2012-05-18 2013-12-04 联发科技(新加坡)私人有限公司 电压调整系统与电压调整方法
CN104995612A (zh) * 2013-01-17 2015-10-21 康杜实验室公司 低同步开关噪声芯片间通信方法和系统

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7142865B2 (en) 2002-05-31 2006-11-28 Telefonaktie Bolaget Lm Ericsson (Publ) Transmit power control based on virtual decoding
JP3961886B2 (ja) 2002-06-06 2007-08-22 パイオニア株式会社 情報記録装置
US7592824B2 (en) 2003-02-26 2009-09-22 Rambus Inc. Method and apparatus for test and characterization of semiconductor components
US7049865B2 (en) 2004-03-05 2006-05-23 Intel Corporation Power-on detect circuit for use with multiple voltage domains
US8129969B1 (en) 2006-04-07 2012-03-06 Marvell International Ltd. Hysteretic inductive switching regulator with power supply compensation
US7873980B2 (en) 2006-11-02 2011-01-18 Redmere Technology Ltd. High-speed cable with embedded signal format conversion and power control
US8238868B2 (en) * 2007-05-31 2012-08-07 Renesas Electronics Corporation Dynamic voltage scaling for packet-based data communication systems
JP2011529298A (ja) 2008-07-27 2011-12-01 ラムバス・インコーポレーテッド 受信側の供給負荷の分散方法及びシステム
US8161431B2 (en) 2008-10-30 2012-04-17 Agere Systems Inc. Integrated circuit performance enhancement using on-chip adaptive voltage scaling
US8022684B2 (en) * 2009-04-03 2011-09-20 Lsi Corporation External regulator reference voltage generator circuit
US9288089B2 (en) 2010-04-30 2016-03-15 Ecole Polytechnique Federale De Lausanne (Epfl) Orthogonal differential vector signaling
US9300503B1 (en) 2010-05-20 2016-03-29 Kandou Labs, S.A. Methods and systems for skew tolerance in and advanced detectors for vector signaling codes for chip-to-chip communication
US9159378B2 (en) * 2010-12-13 2015-10-13 Broadcom Corporation Performance monitor with memory ring oscillator
US9086453B2 (en) * 2011-05-17 2015-07-21 Marvell Inernational Ltd. Method and apparatus for testing integrated circuits
EP2573946B1 (en) 2011-09-23 2014-07-30 Alcatel Lucent Power adaptation avoidance during crosstalk measurements
US8854945B2 (en) 2011-11-09 2014-10-07 Qualcomm Incorporated Enhanced adaptive gain control in heterogeneous networks
US8898504B2 (en) 2011-12-14 2014-11-25 International Business Machines Corporation Parallel data communications mechanism having reduced power continuously calibrated lines
US9223327B1 (en) * 2012-11-26 2015-12-29 Marvell International Ltd. Universal adaptive voltage scaling system
CN105204602B (zh) * 2015-09-02 2018-06-22 上海兆芯集成电路有限公司 电源控制装置
US10326623B1 (en) 2017-12-08 2019-06-18 Kandou Labs, S.A. Methods and systems for providing multi-stage distributed decision feedback equalization

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1141436A (zh) * 1995-06-01 1997-01-29 罗姆股份有限公司 电池不足检测装置、具有该装置的电源电路以及具有该电源电路的携带用机器
CN1918476A (zh) * 2004-02-13 2007-02-21 皇家飞利浦电子股份有限公司 用于测试锁相环的方法和器件
CN101663629A (zh) * 2007-03-01 2010-03-03 拉姆伯斯公司 用于电子系统的优化电源
US8370654B1 (en) * 2009-03-26 2013-02-05 Marvell Israel (M.I.S.L) Ltd. AVS-adaptive voltage scaling
CN102142831A (zh) * 2010-01-29 2011-08-03 英飞凌科技股份有限公司 片上自校准延迟监控电路
CN103141029A (zh) * 2010-09-30 2013-06-05 意法爱立信有限公司 采样器电路
ITMI20112412A1 (it) * 2011-12-28 2013-06-29 Stmicroelectronics Private Ltd Regolatore di tensione con capacita' di by-pass per scopi di test
CN103425222A (zh) * 2012-05-18 2013-12-04 联发科技(新加坡)私人有限公司 电压调整系统与电压调整方法
CN104995612A (zh) * 2013-01-17 2015-10-21 康杜实验室公司 低同步开关噪声芯片间通信方法和系统

Also Published As

Publication number Publication date
US11392193B2 (en) 2022-07-19
KR20230091189A (ko) 2023-06-22
EP3724670A1 (en) 2020-10-21
US20210240253A1 (en) 2021-08-05
US11803230B2 (en) 2023-10-31
CN116204031A (zh) 2023-06-02
EP3724670B1 (en) 2024-05-01
KR102605344B1 (ko) 2023-11-23
WO2019118642A1 (en) 2019-06-20
EP4372397A2 (en) 2024-05-22
US10983587B2 (en) 2021-04-20
CN111656204B (zh) 2023-04-14
US20230010756A1 (en) 2023-01-12
KR20200096820A (ko) 2020-08-13
US20190179403A1 (en) 2019-06-13
KR102542640B1 (ko) 2023-06-12

Similar Documents

Publication Publication Date Title
US8570881B2 (en) Transmitter voltage and receiver time margining
Wong et al. A 27-mW 3.6-gb/s I/O transceiver
US6002282A (en) Feedback apparatus for adjusting clock delay
US11803230B2 (en) Dynamic voltage scaling in hierarchical multitier regulator supply
US8610307B2 (en) Optimized power supply for an electronic system
US7129800B2 (en) Compensation technique to mitigate aging effects in integrated circuit components
EP3512102B1 (en) High output impedance charge pump for pll/dll
US7042271B2 (en) Resistor compensation apparatus
US9030244B1 (en) Clock duty cycle calibration circuitry
US7349515B1 (en) Method and an apparatus to improve production yield of phase locked loops
KR20190057748A (ko) 스큐 보상 회로 및 이를 포함하는 반도체 장치
US7102402B2 (en) Circuit to manage and lower clock inaccuracies of integrated circuits
US6529571B1 (en) Method and apparatus for equalizing propagation delay
US6597218B1 (en) Programmable bias-generator for self-biasing a delay locked loop
US6570420B1 (en) Programmable current source adjustment of leakage current for delay locked loop
US6664831B2 (en) Circuit for post-silicon control of delay locked loop charge pump current
US6573770B1 (en) Programmable leakage current offset for delay locked loop
US11598806B2 (en) Test apparatus and test method to a memory device
KR100845784B1 (ko) 지연 고정 루프의 지연 장치
Wei Energy-efficient I/O interface design with adaptive power-supply regulation
EP3954046B1 (en) Dynamic integration time adjustment of a clocked data sampler using a static analog calibration circuit
US20040177286A1 (en) Method and apparatus for receiver circuit tuning
US9054719B2 (en) Current compensation circuit

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant