CN111640747A - Semiconductor device, electric contact structure and manufacturing method thereof - Google Patents

Semiconductor device, electric contact structure and manufacturing method thereof Download PDF

Info

Publication number
CN111640747A
CN111640747A CN201910926990.4A CN201910926990A CN111640747A CN 111640747 A CN111640747 A CN 111640747A CN 201910926990 A CN201910926990 A CN 201910926990A CN 111640747 A CN111640747 A CN 111640747A
Authority
CN
China
Prior art keywords
contact
region
boundary
semiconductor device
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910926990.4A
Other languages
Chinese (zh)
Inventor
童宇诚
詹益旺
黄永泰
方晓培
吴少一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujian Jinhua Integrated Circuit Co Ltd
Original Assignee
Fujian Jinhua Integrated Circuit Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujian Jinhua Integrated Circuit Co Ltd filed Critical Fujian Jinhua Integrated Circuit Co Ltd
Priority to CN201910926990.4A priority Critical patent/CN111640747A/en
Priority to PCT/CN2020/079580 priority patent/WO2021056984A1/en
Publication of CN111640747A publication Critical patent/CN111640747A/en
Priority to US17/320,244 priority patent/US20210272961A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The invention provides a semiconductor device, an electric contact structure and a manufacturing method thereof, wherein a combined contact structure with a larger top cross-sectional area is formed at the boundary of a core element area by connecting the tops of at least two contact plugs formed at the boundary of the core element area together, thereby providing enough process allowance for the subsequent process of forming an electric structure above the contact structure at the boundary of the core element area, increasing the size of the electric structure at the boundary, reducing the contact resistance, buffering the density difference of circuit patterns between the core element area and a peripheral circuit area through the electric structure with the increased size at the boundary, improving the optical proximity effect, ensuring the consistency of the electric structure above the contact plugs within the boundary of the core element area, and preventing the collapse of the electric structure above the contact plugs at the boundary, and the performance of the device is improved.

Description

Semiconductor device, electric contact structure and manufacturing method thereof
Technical Field
The invention relates to the technical field of semiconductors, in particular to a semiconductor device and an electric contact structure and a manufacturing method thereof.
Background
Various techniques have been used to integrate more circuit patterns in a limited area of a semiconductor substrate or wafer. Due to the difference in the pitches of circuit patterns, an integrated circuit is generally divided into a device Dense region (Dense), a device sparse region (ISO), and a device isolated region, where the device Dense region is a region with a higher device density (i.e., devices are denser), the device sparse region is a region with a lower device density (i.e., devices are sparser), and the device isolated region is a region where the relatively sparse region and the Dense region are separately arranged. As the critical dimension of semiconductor devices is continuously reduced, the density of circuit patterns and/or the height of the devices is continuously increased, which is influenced by the resolution limit of an exposure tool (optical exposure to 1) and the effect of density difference between a device dense region and a device sparse region (i.e., the dense/sparse effect of circuit patterns), and the difficulty in performing a photolithography process and/or an etching process is also increased (e.g., the process margin is reduced), thereby causing the performance of the manufactured semiconductor devices to be affected.
For example, in the case of a Dynamic Random Access Memory (DRAM) device, a large number of memory cells (memory cells) are grouped to form an array memory area, and a peripheral circuit area is present beside the array memory area, where the peripheral circuit area includes other transistor elements, contact structures, and the like, the array memory area is used as a device dense area of the DRAM for storing data, and the peripheral circuit area is used as a device sparse area of the DRAM for providing input and output signals and the like required by the array memory area. Each memory cell in the array memory region may be formed by a Metal Oxide Semiconductor (MOS) transistor and a capacitor (capacitor) structure connected in series. Wherein a capacitor is located within the array storage region, wherein the capacitor is stacked over a bit line and electrically coupled to a corresponding storage node contact of the capacitor, the storage node contact being electrically coupled to an active region therebelow. As semiconductor technology is continuously developed, critical dimensions of devices are continuously reduced, gaps between memory cells of a DRAM device become narrower, and when a storage node Contact is formed through a Self Aligned Contact (SAC) process, Contact holes formed inside an array storage region are not uniform due to the resolution limit of an exposure tool (optical exposure tool 1) and the effect of density difference between a device dense region and a device sparse region, Contact holes at the boundary of the device dense region are abnormal, which may cause a decrease in Contact area between a capacitor formed above and a Contact plug in the Contact hole and an increase in Contact resistance, possibly causing failure of some storage bits due to the problem of disconnection or short circuit of the Contact plug, and a problem of collapse of the capacitor at the boundary of the array storage region, which affect and limit the improvement of DRAM performance.
Disclosure of Invention
The present invention provides a semiconductor device, an electrical contact structure thereof, and a method for manufacturing the same, so as to solve the problems of inconsistent electrical structures connected to the contact plugs inside the core device region and abnormal electrical structures connected to the contact plugs at the boundary of the core device region caused by the optical proximity effect and the dense/sparse effect of the circuit pattern in the semiconductor device such as the conventional dynamic random access memory.
In order to solve the above technical problem, the present invention provides an electrical contact structure of a semiconductor device, the electrical contact structure comprising:
a plurality of contact plugs formed over the core devices of the core device region of the semiconductor device, and a bottom of each of the contact plugs being in contact with the active region of the corresponding core device,
wherein the tops of at least two contact plugs formed at the boundary of the core element region are coupled together, and the contact plugs whose tops are coupled together include the outermost contact plug at the boundary.
Based on the same inventive concept, the present invention also provides a semiconductor device, comprising:
a semiconductor substrate having a core element region in which a plurality of core elements are formed;
the interlayer dielectric layer covers the semiconductor substrate; and the number of the first and second groups,
the electrical contact structure of the semiconductor device according to the present invention, the electrical contact structure is formed in the interlayer dielectric layer, the bottom of each of the contact plugs of the electrical contact structure contacts with the active region of the corresponding core device, and the tops of at least two contact plugs formed at the boundary of the core device region in the electrical contact structure are connected together, and all the contact plugs connected at the top include the contact plug at the outermost side at the boundary.
Based on the same inventive concept, the invention also provides a manufacturing method of the electrical contact structure of the semiconductor device, which comprises the following steps:
providing a semiconductor substrate, wherein the semiconductor substrate is provided with a core element area, and a plurality of core elements are formed in the core element area;
forming an interlayer dielectric layer on the semiconductor substrate, and forming a plurality of contact holes in the interlayer dielectric layer, wherein each contact hole penetrates through the interlayer dielectric layer and exposes the active region of a corresponding core element;
contact plugs are formed in the contact holes, and the bottom of each contact plug contacts the active region of the corresponding core element, and the tops of at least two contact plugs formed at the boundary of the core element region are connected together, and the top of all the contact plugs connected together comprise the contact plug at the outermost side of the boundary.
Based on the same inventive concept, the invention also provides a manufacturing method of the semiconductor device, which comprises the following steps: the method for manufacturing the electric contact structure of the semiconductor device forms the electric contact structure which is electrically contacted with the corresponding core element on the semiconductor substrate with the core element area.
Compared with the prior art, the technical scheme of the invention has the following beneficial effects:
forming a combined contact structure with a larger top cross-sectional area at the boundary of the core element region by enabling the tops of at least two contact plugs formed at the boundary of the core element region to be connected together, thereby, on one hand, providing enough process margin for a subsequent process of forming an electrical structure (such as a lower plate of a capacitor of a DRAM) above the combined contact structure at the boundary of the core element region, facilitating the size increase of the electrical structure at the boundary and avoiding the abnormal or collapse of the electrical structure at the boundary; on the other hand, the electrical structure at the boundary and the combined contact structure can have larger contact area, so that the contact impedance is reduced, and the electrical performance of the device is improved; more importantly, the size of the electrical structure at the boundary is increased, and the density difference of circuit patterns between the core element region and the peripheral circuit region can be buffered, so that the optical proximity effect can be improved in the photoetching process and/or etching process for forming all the electrical structures in the core element region, the sparse/dense loading effect can be reduced, the consistency of the electrical structure above the contact plug within the boundary of the core element region can be ensured, and the device performance can be improved.
Drawings
FIGS. 1A to 1D are schematic cross-sectional views illustrating a method for fabricating an electrical contact structure of a semiconductor device according to an embodiment of the present invention;
FIGS. 2A 2D are schematic cross-sectional views illustrating a method for fabricating an electrical contact structure of a semiconductor device according to another embodiment of the present invention;
fig. 3A is a schematic top view of a semiconductor device according to an embodiment of the present invention;
fig. 3B to 11 are schematic cross-sectional views along line aa' in fig. 3A in a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Detailed Description
The technical solution proposed by the present invention will be further described in detail with reference to the accompanying drawings and specific embodiments. The advantages and features of the present invention will become more apparent from the following description. It is to be noted that the drawings are in a very simplified form and are not to precise scale, which is merely for the purpose of facilitating and distinctly claiming the embodiments of the present invention.
Fig. 1D is a schematic sectional view showing an electrical contact structure of a semiconductor device according to an embodiment of the present invention. Referring to fig. 1D, an electrical contact structure of a semiconductor device according to an embodiment of the present invention includes a plurality of contact plugs 106a and 106b, wherein the contact plugs 106a and 106b are formed above core devices (not shown) in a core device region I of the semiconductor device, and bottoms of the respective contact plugs 106a and 106b are in contact with an active region 101 of the corresponding core devices. The core device region I includes a boundary region (or called boundary region, interface region) I-2 and a central region I-1 located within the boundary region I-2, and the tops of at least two contact plugs 106b formed at the boundary region I-2 of the core device region I are connected together. The core element area I is a device dense area, and the peripheral circuit area II around the core element area I is a device sparse area.
Each of the contact plugs 106a, 106b, 106c may include a barrier metal layer (not shown) and a metal layer (not shown), and the barrier metal layer may include, for example, Ti, Ta, Mo, TixNy、TaxNy、TixZry、TixZryNz、NbxNy、ZrxNy、WxNy、VxNy、HfxNy、MoxNy、RuxNyAnd/or TixSiyNz. The metal layer may comprise, for example, tungsten, copper and/or aluminum
All the contact plugs 106b whose tops of I-2 at the boundary of the core element region I are connected together constitute an inverted U-shaped electrical contact structure or a comb-shaped electrical contact structure, that is, all the contact plugs 106b whose tops of I-2 at the boundary of the core element region I are connected together, and I-2 at the boundary constitutes a combined contact structure whose top cross-sectional area is large.
Referring to fig. 1D and fig. 11, in the present embodiment, the semiconductor device is a Dynamic Random Access Memory (DRAM), the core device region is a memory array region of the DRAM, the core device is a memory transistor, and the electrical contact structure is a storage node contact portion connected to a capacitor structure (i.e., a storage node). Namely, one capacitor structure is connected to each contact plug 106a in the central region I-1 of the core device region I (as shown by 705a in fig. 11), one capacitor structure is connected to a combined contact structure in the boundary I-2 of the core device region I (as shown by 705b in fig. 11), and the capacitor structure in the boundary I-2 has a first width W1, and the capacitor structure within the boundary I-2 of the core device region I (i.e., the central region I-1) has a second width W2, due to the existence of the combined contact structure with a larger top cross-sectional area formed by I-2 at the boundary of the core device region I, sufficient process margin can be provided for the forming process of the capacitor structure in the boundary I-2 to facilitate increasing the first width W1 of the capacitor structure in the boundary I-2, making the first width W1 greater than the second width W2, thereby, on the one hand, avoiding collapse of the capacitive structure formed at this boundary; on the other hand, the capacitor structure at the boundary and the combined contact structure below the capacitor structure can have a larger contact area, so that the contact impedance is reduced, and the electrical performance of the device is improved; more importantly, the size of the capacitor structure at the boundary is increased, so that the density difference of the circuit patterns between the core element region I and the peripheral circuit region II can be buffered, the optical proximity effect can be improved when the photoetching process and/or the etching process are carried out, the sparse/dense loading effect is reduced, the consistency of the capacitor structure above the contact plug 106a of the region I-1 within the region I-2 (namely the central region) at the boundary of the core element region I is ensured, and the problems that the capacitor structure above the contact plug at some positions in the core element region I is abnormal or the capacitor structure above the contact plug at the boundary I-2 is collapsed are prevented. Optionally, the first width W1 is greater than 1.5 times the second width W2.
Referring to fig. 3A and 11, the semiconductor device includes a plurality of word lines WL and a plurality of bit lines BL, each of the word lines WL intersecting a plurality of the active regions AA1 in the core device region I, the word lines WL may be buried word lines, the bit lines BL are formed above the core elements of the core element region I and are perpendicular to the word lines WL, a structure (e.g. an inverted U-shaped electrical contact structure or a comb-shaped electrical contact structure) of all contact plugs coupled together at the top spans at least one of the word lines WL and is aligned (i.e. parallel) with the bit lines BL, for example, an inverted U-shaped electrical contact structure or a comb-shaped electrical contact structure is formed across one word line WL in one active region AA1 on the outermost boundary of the core device region I (i.e., the side of I-2 at the boundary closest to the peripheral circuit region II, i.e., the outermost side of I-2 at the boundary). It should be noted that, in this embodiment, although the semiconductor device is a DRAM by way of example, the technical solution of the present invention is not limited thereto, and the semiconductor device may also be any suitable electrical device, for example, a memory with other architecture, in which case, the capacitance structure may be replaced by a corresponding electrical structure, for example, a resistor, etc.
Fig. 1A to 1D are device cross-sectional views schematically illustrating a method of manufacturing an electrical contact structure of a semiconductor device according to the present embodiment. Referring to fig. 1A to fig. 1D, the present embodiment further provides a method for manufacturing an electrical contact structure of a semiconductor device, including the following steps:
first, referring to fig. 1A, a semiconductor substrate 100 is provided, which includes a core device region I and a peripheral circuit region II, and the semiconductor substrate 100 may be selected from a silicon substrate, a silicon-on-insulator (SOI), a germanium substrate, a germanium-on-insulator substrate (GOI), a silicon germanium substrate, and the like. The semiconductor substrate 100 is formed with a plurality of shallow trench isolation structures (not shown) formed by etching the semiconductor substrate 100 to form trenches and then filling the trenches with an insulating material, wherein the shallow trench isolation structures may be made of silicon oxide, silicon nitride, silicon oxynitride, or the like. The sti structure defines a boundary between the core device region I and the peripheral circuit region II (i.e., a boundary I-2 of the core device region I) on a two-dimensional plane, and also defines an active region 101 corresponding to each core device in the core device region I and an active region 101 corresponding to a peripheral device in the peripheral circuit region II.
Next, with reference to fig. 1A, an interlayer dielectric layer 102 is covered on the semiconductor substrate 100, and the interlayer dielectric layer 102 may be configured to have a single-layer structure or a multi-layer structure. The interlevel dielectric layer 102 may comprise at least one of silicon nitride, silicon oxynitride, and a low-k dielectric material. The low-k dielectric material has a dielectric constant k smaller than that of a silicon oxide layer, and may be used as an inter-metal dielectric (IMD) layer, such as high-density plasma (HDP) oxide, Tetraethylorthosilicate (TEOS), plasma-enhanced TEOS (PE-TEOS), Undoped Silicate Glass (USG), phosphosilicate glass (PSG), silicate glass (BSG), silicate-gate-phosphate glass (BPSG), Fluorinated Silicate Glass (FSG), spin-on glass (SOG), and the like. In addition, an etch stop layer (not shown) may be formed between the semiconductor substrate 100 and the interlayer dielectric layer 102, and the etch stop layer may include SiN, SiON, SiC, SiCN, BN (nitride gate), or any combination thereof. The etch stop layer and the interlevel dielectric layer 102 may be formed using plasma enhanced CVD (pecvd), high density plasma CVD (HDP-CVD), atmospheric pressure CVD (apcvd), and/or spin-on processes.
Then, with reference to fig. 1A, a first mask pattern 103 is formed on the interlayer dielectric layer 102 by a first photolithography process, the first mask pattern 103 defines the position of each contact plug, and then, the interlayer dielectric layer 102 is anisotropically etched using the first mask pattern 103 as an etch mask, so as to form contact holes 102a, 102b and 102c which penetrate through the interlayer dielectric layer 102 and expose the corresponding active regions 101 below, wherein the contact holes 102a, 102b and 102c are all independent of each other, each contact hole 102a is positioned in the central region I-1 of the core element region I and exposes the active region 101 of the corresponding core element in the central region I-1, each contact hole 102b is positioned at the boundary I-2 of the core element region I and exposes the active region 101 of the corresponding core element in the boundary I-2, and each contact hole 102c is positioned in the peripheral circuit region II and exposes the active region 101 of the corresponding peripheral element.
Then, referring to fig. 1B, after the contact holes 102a to 102c are formed, an ashing process or a wet cleaning process may be performed to remove the first mask pattern 103 and fill the sacrificial layer 104 in each of the contact holes 102a to 102 c. The sacrifice layer 104 may be formed of a spin-on hard mask (SOH) layer or an amorphous carbon layer ACL), which may enable filling of the contact holes 102a to 102c having a high aspect ratio with the sacrifice layer 104.
Next, with continued reference to fig. 1B, a second mask pattern 105 may be formed on the interlayer dielectric layer 102 and the sacrificial layer 104 by a second photolithography process, and the second mask pattern 105 defines a trench 102d for connecting tops of the at least two contact holes 102B corresponding to I-2 at the boundary. The interlayer dielectric layer at the boundary I-2 is etched using the second mask pattern 105 as a mask to form a trench 102d connecting the tops of the corresponding at least two contact holes 102b at the boundary, the trench 102d exposing at least one outermost contact hole at the boundary I-2 (e.g., the trench 102d exposing at least one outermost contact hole in the column of contact holes at the boundary I-2).
Referring to fig. 1C, the sacrificial layer 104 and the second mask pattern 105 in the contact holes 102a to 102C, 102d may be removed using an ashing process using oxygen, ozone, or ultraviolet rays or through a wet cleaning process to re-expose the respective contact holes 102a to 102C and the trench 102 d.
Referring to fig. 1D, a barrier metal layer (not shown) may be formed in the contact holes 102a to 102c and the trench 102D, for example, the barrier metal layer may cover the inner walls of the contact holes and the trench and the top surface of the interlayer dielectric layer 102 with a uniform thickness. The barrier metal layer can reduce or prevent diffusion of the metal material disposed in the contact holes and the trenches into the interlayer dielectric layer 102. For example, the barrier metal layer may be formed of Ta, TaN, TaSiN, Ti N, TiSiN, W, WN, or any combination thereof, and may be formed using a process such as Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), or Physical Vapor Deposition (PVD) (e.g., sputtering). Then, the respective contact holes 102a to 102c and the trench 102d are filled with a metal layer to form contact plugs 106a, 106c and a combined contact structure 106 b. Wherein the metal layer may be formed from refractory metal(s) (e.g., cobalt, iron, nickel, tungsten, and/or molybdenum). In addition, the metal layer may be formed using a deposition process having good step coverage properties, for example, using Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), or Physical Vapor Deposition (PVD) (e.g., sputtering). The interlayer formed metal layer also covers the surface of the interlayer dielectric layer 102 around the contact hole, and then a Chemical Mechanical Polishing (CMP) process may be used to chemically and mechanically polish the top surface of the deposited metal layer until the top surface of the interlayer dielectric layer 102 is exposed, so as to form the contact plugs 106a and 106c and the combined contact structure 106b in the interlayer dielectric layer 102.
Referring to fig. 2D, another embodiment of the present invention provides an electrical contact structure of a semiconductor device, which includes a plurality of contact plugs 106, wherein the tops of at least two contact plugs 106 formed at the boundary (or called boundary region, interface region) I-2 of the core device region I of the semiconductor device are connected together through a contact pad 109b having a larger area. The core element area I is a device dense area, and the peripheral circuit area II around the core element area I is a device sparse area. The contact plugs 106 in the region I-1 within I-2 at the boundary of the core device region I (referred to as the central region) have individual contact pads 109a on top thereof, and each contact pad 109a is in one-to-one electrical contact with the top of the corresponding contact plug 106.
All the contact plugs 106 of I-2 at the boundary of the core element region I, which are top-coupled together by the corresponding contact pads 109b, constitute an inverted U-shaped electrical contact structure or a comb-shaped electrical contact structure, i.e., all the contact plugs 106 of I-2 at the boundary of the core element region I, which are top-coupled together, constitute a combined contact structure with a larger top cross-sectional area at the boundary of I-2.
The method shown in fig. 1A to 1D can reduce the number of deposition processes for the same number of photolithography processes, so that all the contact plugs 106 connected together at the top are integrally formed.
Referring to fig. 2D and fig. 11, in another embodiment of the present invention, the semiconductor device is a Dynamic Random Access Memory (DRAM), the core device region is a memory array region of the DRAM, the core device is a memory transistor, and the electrical contact structure is a storage node contact portion connected to a capacitor structure. Namely, each contact plug in the central region I-1 of the core element region I is plugged with a capacitor structure (as shown by 705a in fig. 11), a combined contact structure in the boundary I-2 of the core element region I is plugged with a capacitor structure (as shown by 705b in fig. 11), and the capacitor structure at the boundary has a first width W1, the capacitor structure within the boundary I-2 of the core element region I has a second width W2, due to the existence of the combined contact structure with larger top cross-sectional area formed by the boundary I-2 of the core element region I, enough process margin can be provided for the forming process of the capacitor structure at the boundary to facilitate increasing the first width W1 of the capacitor structure at the boundary I-2, so that the first width W1 is larger than the second width W2, and further, on one hand, the collapse of the capacitance structure formed at the boundary is avoided; on the other hand, the capacitor structure at the boundary and the combined contact structure below the capacitor structure can have a larger contact area, so that the contact impedance is reduced, and the electrical performance of the device is improved; more importantly, the size of the capacitor structure at the boundary is increased, so that the density difference of the circuit patterns between the core element region I and the peripheral circuit region II can be buffered, the optical proximity effect can be improved when the photoetching process and/or the etching process are carried out, the sparse/dense loading effect is reduced, the consistency of the capacitor structure above the contact plug 106a of the region I-1 within the region I-2 (namely the central region) at the boundary of the core element region I is ensured, and the problems that the capacitor structure above the contact plug at some positions in the core element region I is abnormal or the capacitor structure above the contact plug at the boundary I-2 is collapsed are prevented. Optionally, the first width W1 is greater than 1.5 times the second width W2.
Fig. 2A to 2D are schematic device cross-sectional views illustrating a method of manufacturing an electrical contact structure of a semiconductor device according to another embodiment of the present invention. Referring to fig. 2A to fig. 2D, the present embodiment further provides a method for manufacturing an electrical contact structure of a semiconductor device, including the following steps:
first, referring to fig. 2A, a semiconductor substrate 100 including a core device region I and a peripheral circuit region II is provided. The semiconductor substrate 100 has a plurality of shallow trench isolation structures (not shown) formed therein, which define a boundary between the core device region I and the peripheral circuit region II (i.e. a boundary I-2 of the core device region I) on a two-dimensional plane, and also define an active region 101 corresponding to each core device in the core device region I and an active region 101 corresponding to a peripheral device in the peripheral circuit region II.
Next, with reference to fig. 2A, a first interlayer dielectric layer 102 is formed on the semiconductor substrate 100. In addition, an etch stop layer (not shown) may be formed between the semiconductor substrate 100 and the first interlayer dielectric layer 102; through a first photolithography process, a first mask pattern 103 is formed on the first interlayer dielectric layer 102, the first mask pattern 103 defines the position of each contact plug, and then, the first interlayer dielectric layer 102 is anisotropically etched using the first mask pattern 103 as an etch mask, to form contact holes 102a, 102b and 102c penetrating the first interlayer dielectric layer 102 and exposing the corresponding active region 101 thereunder, each contact hole 102a being located in a central region I-1 of the core element region I and exposing the active region 101 of the corresponding core element in the central region I-1, each contact hole 102b being located at a boundary I-2 of the core element region I and exposing the active region 101 of the corresponding core element in the boundary I-2, each contact hole 102c being located in the peripheral circuit region II and exposing the active region 101 of the corresponding peripheral element.
Then, referring to fig. 2B, after the contact holes 102a to 102c are formed, an ashing process or a wet cleaning process may be performed to remove the first mask pattern 103, and to fill a barrier metal layer (not shown) made of TiN or the like and a metal layer (not shown) made of tungsten or the like in each of the contact holes 102a to 102c, and further, a Chemical Mechanical Polishing (CMP) process is performed to chemically and mechanically polish a top surface of the deposited metal layer until a top surface of the first interlayer dielectric layer 102 is exposed to form contact plugs 106 located in the interlayer dielectric layer 102, wherein a bottom of each of the contact plugs 106 in the core device region I is in contact with the active region 101 of the corresponding core device. The bottom of each of the contact plugs 106 in the peripheral circuit region II is in contact with the active region 101 of the corresponding peripheral element.
Next, referring to fig. 2C, a second interlayer dielectric layer 107 and a second mask pattern 105 may be formed on the first interlayer dielectric layer 102 and the contact plugs 106, and the second mask pattern 105 is formed by a second photolithography process to define a trench for connecting tops of at least two contact plugs 106 corresponding to I-2 at the boundary. The second interlayer dielectric layer 108 is etched using the second mask pattern 105 as a mask to form trenches exposing tops of the corresponding contact plugs 106, wherein the trenches 108b corresponding to the I-2 at the boundary expose tops of at least two contact plugs 106 and the spaces therebetween, the trenches 108a within the I-2 at the boundary of the core device region I (i.e., the central region I-1) expose tops of the corresponding contact plugs 106, and the trenches 108c in the peripheral circuit region II expose tops of the corresponding contact plugs 106. The trench 108b exposes at least the top of the outermost one of the contact plugs 106 at the boundary I-2.
Referring to fig. 2D, the second mask pattern 105 may be removed using an ashing process using oxygen, ozone, or ultraviolet rays, or a wet cleaning process, and a barrier metal layer (not shown) and a metal layer (not shown) may be sequentially formed in the trenches 108a to 108 c. The barrier metal layer can reduce or prevent diffusion of the metal material disposed in the contact holes and the trenches into the interlayer dielectric layer 102. Then, each of the contact hole trenches 108a to 108c is filled with a metal layer to form contact pads 109a, 109b, 109c independent of each other. The contact pads 109a are formed on top of the contact plugs 106 of the central region I-1 of the core device region I and are in one-to-one electrical contact with the top of the corresponding contact plugs 106, and the contact pads 109b are formed on top of the contact plugs 106 of the boundary I-2 of the core device region I and are in one-to-one electrical contact with the top of the corresponding contact plugs 106, so that all the contact plugs 106 joined together at the top in the boundary I-2 constitute an inverted U-shaped electrical contact structure or a comb-shaped electrical contact structure.
The method shown in fig. 2A to 2D can equally divide each contact plug (including the contact plug and the independent contact plug whose tops are connected together) into two sections of heights to manufacture under the same photoetching times, thereby reducing the aspect ratio of the contact hole or the trench corresponding to the etching process and the filling process corresponding to each section of height and ensuring the performance of the formed electrical contact structure.
Referring to fig. 1D and fig. 2D, an embodiment of the invention further provides a semiconductor device, including a semiconductor substrate 100, the semiconductor substrate 100 having a core device region I, the core device region I having a plurality of core devices formed therein; an interlayer dielectric layer 102 covering the semiconductor substrate 100; and an electrical contact structure of the semiconductor device according to embodiments of the present invention, the electrical contact structure being formed in the interlayer dielectric layer 102, a bottom of each of the contact plugs of the electrical contact structure being in contact with the active region 101 of the corresponding core element, and tops of at least two contact plugs of the electrical contact structure formed at the boundary of the core element region I-2 being joined together.
Referring to fig. 2D and 11, the semiconductor device further includes a capacitor structure formed on the interlayer dielectric layer 107 and having a bottom contacting the electrical contact structure, the capacitor structure at the boundary I-2 (shown as 705b in fig. 11) has a first width W1, the capacitor structure within the boundary I-2 (i.e., the central region I-1) of the core device region I (shown as 705a in fig. 11) has a second width W2, and the first width W1 is greater than the second width W2.
It should be noted that the technical solution of the present invention is not limited to the above-mentioned method for forming the electrical contact structure, and the method that can be used to form the separate contact plug and the contact plug with the top connected together can be applied to the technical solution of the present invention, for example, in another example of the present invention, after the structure of fig. 1A is formed and the mask pattern 103 is removed, the sacrificial layer is not filled, but the material of the contact plug (including the barrier metal layer and the metal layer) is directly filled to form the separate contact plug, then the mask pattern 105 of fig. 1B is formed on the interlayer dielectric layer 102 and the separate contact plug, and the interlayer dielectric layer 102 is further etched to form the trench 102d that exposes the top sidewalls of at least two contact plugs 102B at the boundary I-2, and then the trench 102d is filled with the conductive material to form the contact pad (not shown), which connects the tops of the contact plugs 102b exposed by the trenches 102d together.
Hereinafter, a semiconductor device and a method of manufacturing the same according to an embodiment of the present invention will be described in detail with reference to fig. 3A to 11. Fig. 3A is a schematic top view of a device structure in a method of manufacturing a semiconductor device according to an embodiment of the present invention; fig. 3B to fig. 11 are schematic cross-sectional views of the device structure along line aa' in fig. 3A in the method for manufacturing the semiconductor device according to the embodiment of the present invention.
First, referring to fig. 3A and 3B, a semiconductor substrate 300 having a plurality of core devices (i.e., memory transistors) is provided, which includes the following steps:
first, referring to fig. 3A and fig. 3B, a semiconductor substrate 300a including a core device region I and a peripheral circuit region II is provided. In this embodiment, the core device region I is a storage region, the core device to be formed in the core device region I includes a selection device, a data storage device is connected to the core device, the selection device is, for example, a MOS transistor or a diode, the data storage device is, for example, a capacitor or a variable resistor, and one selection device and the corresponding data storage device constitute a storage unit. A peripheral circuit TR (e.g., an NMOS transistor and a PMOS transistor, a diode, or a resistor) may be formed in the peripheral circuit region II to control the memory cells. A plurality of shallow trench isolation structures 301 are formed in the semiconductor substrate 300a, wherein the shallow trench isolation structures 301 define a boundary between the core device region I and the peripheral circuit region II (i.e. define a boundary I-2 of the core device region I) on a two-dimensional plane, and further define an active region AA1 corresponding to each core device in the core device region I and an active region AA2 corresponding to a peripheral device in the peripheral circuit region II. The active areas AA1 are distributed in a stripe shape on a two-dimensional plane and extend along a first direction, and the active areas AA1 may be arranged in a staggered arrangement on the surface of the semiconductor substrate 300 a.
Then, the embedded word lines WL are formed in the semiconductor substrate 300a, and the embedded word lines WL are generally embedded at a predetermined depth in the semiconductor substrate 300a, and extend along a second direction (i.e., the row direction) that is not perpendicular to the first direction of the active area AA1 and pass through the shallow trench isolation structure 301 and the active area AA 1. The buried word line WL serves as a gate for controlling the switching of the memory cell, and includes but is not limited to a doped semiconductor material (e.g., doped silicon), a metal material (e.g., tungsten, aluminum, titanium, or tantalum), a conductive metal material (e.g., titanium nitride, tantalum nitride, or tungsten nitride), a metal semiconductor compound (e.g., silicon nitride), and the like. Typically, the sidewalls and bottom of the buried word lines WL are surrounded by a gate dielectric layer (not shown), and the tops of the buried word lines WL are buried by the gate cap layer 302. Since the embedded word line WL is not the focus of the present invention, the related fabrication process can refer to the conventional technical solutions in the art, and will not be described in detail herein. In addition, the gate dielectric layer may include silicon oxide or other suitable dielectric materials, the buried word line WL may include aluminum, tungsten, copper, titanium-aluminum alloy, polysilicon or other suitable conductive materials, and the gate capping layer 302 may include silicon nitride, silicon oxynitride, silicon carbide nitride or other suitable insulating materials.
Furthermore, a second type dopant, such as a P-type or N-type dopant, may be doped into the active regions AA1 on both sides of the buried word line WL to form a source region and a drain region (collectively defined as S/D1), one of the AA1 on both sides of the buried word line WL is located at the center of the AA1 corresponding to the predetermined bit line contact structure, and the other is located at the end of the active region AA1 corresponding to the predetermined storage node contact structure. The word lines WL and S/D1 may constitute or define a plurality of MOS memory transistors formed on the core element region I of the semiconductor device. In addition, at the same time of forming S/D1, source and drain regions (collectively defined as S/D2) corresponding to the peripheral transistors may be formed in the peripheral circuit region II. After the S/D1 and S/D2 are formed, an etching stop layer 303 may be further formed on the semiconductor substrate 300a, the etching stop layer 303 covering the S/D1 and S/D2, and the material thereof may include, for example, silicon nitride (SiN) and/or silicon oxide (SiO)2) And the like.
Then, a plurality of bit line contact plugs (not shown) and bit lines BL located above the bit line contact plugs are formed on the S/D1 serving as the drain region of the core device region I, and the bit line contact plugs may be formed by first etching the S/D1 between two adjacent WLs formed in one active region AA1 to form a recess, and then forming a metal silicide in the recess. The bit lines BL are parallel to each other and extend along a third direction (i.e., a column direction) perpendicular to the buried word lines WL, and simultaneously cross the active regions AA1 and the buried word lines WL. Each bit line BL includes, for example, a semiconductor layer (e.g., polysilicon, not shown), a barrier layer (e.g., comprising Ti or TiN, not shown), a metal layer (e.g., tungsten, aluminum, or copper, not shown), and a mask layer (e.g., comprising silicon oxide, silicon nitride, or silicon carbonitride, not shown) stacked in sequence.
In addition, at least one gate structure G1, for example, including a gate dielectric layer (not shown) and a gate layer (not shown) stacked in sequence, is formed on the peripheral circuit region II of the semiconductor substrate 300 a. In one embodiment, the gate layer of the gate structure G1 is formed together with the semiconductor or metal layer of the bit line BL. Further, different processes or the same process may be used to form the spacers 304 surrounding the bit lines BL and the gate structure G1, respectively. For example, the sidewall of the gate structure G1 may be formed by first forming the sidewall 304 of the gate structure G1 to include silicon oxide or silicon oxynitride (SiON), and then forming the sidewall of the bit line BL to include silicon nitride. In addition, in the manufacturing process of the sidewall of the gate structure G1, an etching back (etching back) process may be performed to make the overall height of the gate structure G1 lower than the bit lines BL.
Then, the method for manufacturing the electrical contact structure of the semiconductor device shown in fig. 1A to 1D or fig. 2A to 2D of the present invention may be used to form the storage node contact structure, and the method for manufacturing the electrical contact structure of the semiconductor device shown in fig. 1A to 1D is used to form the storage node contact structure as an example, and the specific process is as follows:
first, referring to fig. 4, after providing a semiconductor substrate 300 having bit lines BL, source and drain regions S/D1 of core devices, an interlayer dielectric layer 400 is formed on the semiconductor substrate 300, wherein the interlayer dielectric layer may be made of silicon oxide, silicon nitride, or low-K dielectric. Specifically, the interlayer dielectric layer 400 is completely covered on the semiconductor substrate 300 through a deposition process, the interlayer dielectric layer 400 is made to fill the space between the bit lines BL and bury the bit lines BL and the gate structure G1 and the sidewall 304 thereof, and then the interlayer dielectric layer 400 is planarized through a chemical mechanical polishing process or the like, so as to form the interlayer dielectric layer 400 having a flat top surface as a whole. Wherein the top surface of the planarized interlevel dielectric layer 400 is not lower than at least the top surface of each bit line BL.
Next, referring to FIG. 4, a first mask pattern (not shown) is formed on the interlayer dielectric layer 400 by a photolithography process, the first mask pattern defining the location of each storage node contact structure, and then, the interlayer dielectric layer 400 is anisotropically etched using the first mask pattern as an etch mask to form contact holes 401a, 401b and 401D, 401e penetrating the interlayer dielectric layer 400 and exposing the corresponding underlying S/D1 functioning as a source region, each contact hole 401a being located in a central region I-1 of the core device region I and exposing S/D1 functioning as a source region of the corresponding core device in the central region I-1, each contact hole 401b being located at a boundary I-2 of the core device region I and exposing S/D1 functioning as a source region of the corresponding core device in the boundary I-2, each contact hole 401D, and 401e, 401e are located in the peripheral circuit region II and expose the source/drain regions S/D2 or the gate structure G1 of the corresponding peripheral elements.
Then, referring to fig. 5, after forming the contact holes 401a, 401b and 401d, 401e, an ashing process or a wet cleaning process may be performed to remove the first mask pattern and fill the sacrificial layer 501 in the respective contact holes 401a, 401b and 401d, 401 e. The sacrificial layer 501 may be formed of a spin-on hard mask (SOH) layer or an amorphous carbon layer ACL), which may enable filling of the contact holes 401a, 401b and 401d, 401e having high aspect ratios with the sacrificial layer 501.
Next, with continued reference to fig. 4 and 5, a second mask pattern (not shown) may be formed on the interlayer dielectric layer 400 and the sacrificial layer 501, the second mask pattern defining a trench 401c for connecting tops of the at least two contact holes 401b corresponding to I-2 at the boundary. The interlayer dielectric layer 400 at the boundary I-2 is etched using the second mask pattern as a mask to form a trench 401c connecting tops of at least two contact holes 401b (including at least one of a row of contact holes closest to the peripheral circuit region II) corresponding to the boundary I-2. The trench 401c crosses at least one word line WL outermost at the boundary I-2.
Then, referring to fig. 6, the sacrificial layer 501 and the second mask pattern in the contact holes 401a, 401b and 401d, 401e may be removed using an ashing process using oxygen, ozone or ultraviolet rays or through a wet cleaning process to re-expose the respective contact holes 401a, 401b and 401d, 401e and the trench 401 c.
Next, referring to fig. 7, a barrier metal layer (not shown) may be formed in the contact holes 401a, 401b and 401d, 401e and the trench 401c, for example, the barrier metal layer may cover the inner walls of the contact holes 401a, 401b and 401d, 401e and the trench 401c and the top surface of the interlayer dielectric layer 400 with a uniform thickness. The barrier metal layer can reduce or prevent the metal material disposed in the contact holes 401a, 401b and 401d, 401e and the trench 401c from diffusing into the interlayer dielectric layer 400. For example, the barrier metal layer may be formed of Ta, TaN, TaSiN, Ti N, TiSiN, W, WN, or any combination thereof, and may be formed using a process such as Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), or Physical Vapor Deposition (PVD) (e.g., sputtering). Then, the respective contact holes 401a, 401b and 401d, 401e and the trench 401c are filled with a metal layer to form contact plugs 501a, 501d, 501e and a combined contact structure 501 b. Wherein the metal layer may be formed from refractory metal(s) (e.g., cobalt, iron, nickel, tungsten, and/or molybdenum). In addition, the metal layer may be formed using a deposition process having good step coverage properties, for example, using Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), or Physical Vapor Deposition (PVD) (e.g., sputtering). The formed metal layer also covers the surface of the interlayer dielectric layer 400 around the contact hole and the trench, and then a Chemical Mechanical Polishing (CMP) process may be used to chemically and mechanically polish the top surface of the deposited metal layer until the top surface of the interlayer dielectric layer 400 is exposed, so as to form the contact plugs 501a, 501d, 501e and the combined contact structure 501b in the interlayer dielectric layer 400. The contact plug 501a serves as a storage node contact structure in the central region I-1 of the core device region I for connecting with a capacitor structure subsequently formed over the central region I-1. The composite contact structure 501b is formed by at least two top-connected contact plugs (including the outermost contact plug at the boundary electrically contacting the outermost source region of I-2 at the boundary) in I-2 at the boundary of the core device region I as a storage node contact structure in I-2 at the boundary of the core device region I for connecting with a capacitor structure subsequently formed above I-2 at the boundary, the top structure of the composite contact structure 501b (i.e., the top connection structure formed by all the contact plugs connected together at the top) is located above the bit line BL and crosses over at least one word line WL, and the composite contact structure 501b is aligned parallel to the bit line BL. The combined contact structure 501b is, for example, an inverted U-shaped electrical contact structure or a comb-shaped electrical contact structure, which may at least cross one of the word lines WL in the outermost one of the active regions AA1 at the boundary I-2. Contact plug 501D serves as a contact structure for gate structure G1 of peripheral circuit region II to lead out gate structure G1, and contact plug 501e serves as a contact structure for source or drain region S/D2 of peripheral circuit region II to lead out source or drain region S/D2 of peripheral circuit region II.
Then, a conventional capacitor structure manufacturing method in the art may be adopted to manufacture a corresponding capacitor structure on the core device region I, please refer to fig. 8 to 11, and the specific process is as follows:
first, referring to fig. 8, a bottom supporting layer 600, a first sacrificial layer 611, a middle supporting layer 601, a second sacrificial layer 612, and a top supporting layer 602 may be sequentially formed on the surfaces of the interlayer dielectric layer 400, the contact plugs 501a, 501d, and 501e, and the combined contact structure 501b by processes such as chemical vapor deposition, spin coating, and the like, wherein the bottom supporting layer 600 is used for bottom supporting a subsequently formed lower electrode layer, and is also used for isolating internal elements of the semiconductor substrate 300 from elements such as capacitors above. The formation process of the bottom supporting layer 600 may also be a thermal oxidation process. The material of the bottom support layer 600, the middle support layer 601 and the top support layer 602 includes, but is not limited to, silicon nitride, and the material of the first sacrificial layer 611 and the second sacrificial layer 612 includes, but is not limited to, silicon oxide. The thickness of the first sacrificial layer 611 defines the height of the subsequently formed middle support layer 601, and therefore, the thickness of the first sacrificial layer 611 can be adjusted according to the height position of the middle support layer 601 to be formed. Under the condition that the thicknesses of the first sacrificial layer 611 and the middle support layer 601 are determined, the thickness of the second sacrificial layer 612 defines the height of the subsequently formed top support layer 602, and therefore, the thickness of the second sacrificial layer 612 can be adjusted according to the height position of the top support layer 602 to be formed. In other embodiments of the present invention, in order to better support the lower electrode layer, more than two intermediate support layers 601 may be stacked between the bottom support layer 600 and the top support layer 602, and the adjacent intermediate support layers are isolated by a sacrificial layer.
Next, referring to fig. 9, a plurality of capacitor holes 700a and 700b are formed in the sacrificial layer and the support layer on the core device region I, and the capacitor hole 700a is formed in the central region I-1 of the core device region I and exposes the surface of the contact plug 501a in the central region I-1 for forming a capacitor structure in the central region I-1. The capacitive via 700b is formed at the boundary I-2 of the core device region I and exposes the surface of the combined contact structure 501b at the boundary I-2 for forming a capacitive structure in the boundary I-2. The capacitor holes 700a and 700b are arranged in an array, and the capacitor hole 700b has a first width W1, and the capacitor hole 700a has a second width W2, optionally, W1 is not less than 1.5 × W2. Specifically, a mask layer (not shown) is formed on the top supporting layer 602, the mask layer is patterned to expose the regions where the capacitor holes 700a and 700b are to be formed, then the patterned mask layer is used as a mask, the top supporting layer 602, the second sacrificial layer 612, the middle supporting layer 601, the first sacrificial layer 611, and the bottom supporting layer 600 are sequentially etched to remove the supporting layer and the sacrificial layer on the peripheral circuit region II and the edge region of the core element region I, and a plurality of capacitor holes 700a and 700b are formed in the core element region I, and then the patterned mask layer is removed. The capacitor holes 700a and 700b sequentially penetrate through the top supporting layer 602, the second sacrificial layer 612, the middle supporting layer 601, the first sacrificial layer 611, and the bottom supporting layer 600 to expose the surfaces of the corresponding contact plugs 501a and the combined contact structures 501b in the core device region I, and optionally, all the capacitor holes are arranged in a hexagonal close-packed arrangement. In addition, the capacitor holes may be inverted trapezoidal holes, rectangular holes, etc., and the sidewalls thereof may have irregular shapes, such as curved sidewalls, etc., and are not limited herein. In addition, in this embodiment, the bottom supporting layer 600 is further remained on the peripheral circuit region II for protecting the device surface of the peripheral circuit region II in the subsequent capacitor forming process.
It can be understood that, due to the large area of the combined contact structure 501b, a sufficient process margin can be provided for the fabrication of the capacitor hole 700b of the I-2 at the boundary, and the width of the capacitor hole 700b of the I-2 at the boundary is made to be large, so that the abnormal deformation or collapse of the capacitor hole 700b at the boundary is avoided, and meanwhile, the capacitor structure formed at the boundary subsequently and the combined contact structure have a large contact area, thereby reducing the contact resistance and facilitating the improvement of the electrical performance of the device. In addition, because the width of the capacitor hole 700b at the boundary I-2 is large, the density difference of the circuit patterns in the peripheral circuit region II and the core device region I can be buffered, so that the optical proximity effect can be improved when the photolithography process and/or the etching process of the capacitor hole is performed, the sparse/dense load effect is reduced, the consistency of the capacitor hole within the boundary of the core device region is ensured, and the problem that the capacitor hole above the contact plug at some position in the core device region is abnormal to cause the failure of the subsequently formed capacitor structure is prevented.
Referring to fig. 10, a lower electrode layer 701 is formed to cover the sidewalls and the bottom walls of the capacitor holes 700a and 700 b. The lower electrode layer 701 is located in the capacitor holes 700a and 700b, and has a shape corresponding to the shape of the capacitor holes 700a and 700b, so that the lower electrode layer 701 located in the capacitor holes 700a and 700b forms a cylindrical structure. Specifically, the lower electrode layer 701 may be formed by a deposition process in combination with a planarization process, for example, a patterned protection layer (not shown) such as a photoresist may be used to protect the peripheral circuit region II and expose the top surface of the top supporting layer 602 in the core device region I and the surfaces of the capacitor holes 700a and 700 b; then, forming an electrode material layer on the exposed surfaces of the patterned passivation layer and the core device region I by using physical vapor deposition or chemical vapor deposition, wherein the electrode material layer covers the bottoms and sidewalls of the capacitor holes 700a and 700b, and covers the top supporting layer 602 of the core device region I and the top surface of the patterned passivation layer of the peripheral circuit region II; next, a planarization process (e.g., a chemical mechanical polishing process CMP) is performed to remove a portion of the electrode material layer above the top supporting layer 602, so that the remaining electrode material layer is formed only in the capacitor holes 700a and 700b to form a lower electrode layer 701 having a plurality of cylindrical structures, and then the patterned protection layer is removed. In addition, in the present embodiment, the contact plugs 501a and 501b are exposed through the capacitor holes 700a and 700b, respectively, so that the bottom of the cylindrical structure of the formed lower electrode layer 701 can be electrically contacted with the contact plugs 501a and 501 b. Further, the lower electrode layer 701 may be a polysilicon electrode or a metal electrode. When the lower electrode layer 701 is a metal electrode, a stacked structure of titanium nitride (TiN) and Ti may also be employed. When the lower electrode layer 701 is a polysilicon electrode, it may be formed using a polysilicon material that is zero-doped and/or doped.
With continued reference to fig. 10, each sacrificial layer is removed and each support layer is remained, and all the support layers constitute a lateral support layer to laterally connect the outer walls of the plurality of cylindrical structures of the lower electrode layer 701, so as to support the lower electrode layer 701 on the side walls of each cylindrical structure. Specifically, the top supporting layer 602 is located at the top periphery of the plurality of cylindrical structures of the lower electrode layer 701, the middle supporting layer 601 is located at the middle part of the plurality of cylindrical structures of the lower electrode layer 701, and the bottom supporting layer 600 is located at the bottom periphery of the plurality of cylindrical structures of the lower electrode layer 701. The specific process comprises the following steps: forming a first opening (not shown) in the top supporting layer 602 and exposing the second sacrificial layer 612; the second sacrificial layer 612 may be etched and removed by a wet etching process; forming a second opening in the middle support layer 601 to expose the first sacrificial layer 611; etching and removing the first sacrificial layer 611 by adopting a wet etching process; wherein one first opening overlaps only one of the capacitor holes 700a or 700b, or one first opening overlaps a plurality of the capacitor holes 700a and/or 700b at the same time; one of the second openings overlaps only one of the capacitor holes 700a or 700b, or one of the second openings overlaps a plurality of the capacitor holes 700a and/or 700b at the same time. Further, the second opening may be completely aligned with the first opening.
Referring to fig. 11, a capacitance dielectric layer 702 is formed on the inner and outer surfaces of the lower electrode layer 701 and the exposed surface of each supporting layer by using a chemical vapor deposition process or an atomic layer deposition process; next, an upper electrode layer 703 is formed on the inner surface and the outer surface of the capacitor dielectric layer 702. The capacitance dielectric layer 702 covers the inner surface and the outer surface of the cylindrical structure of the lower electrode layer 701, so that two opposite surfaces of the lower electrode layer 701 are fully utilized to form a capacitor with a larger electrode surface area. Preferably, the capacitor dielectric layer 702 may be a high-K dielectric layer such as a metal oxide. Further, the capacitor dielectric layer 702 has a multi-layer structure, such as a two-layer structure of haar-zirconia. The upper electrode layer 703 may have a single-layer structure or a multi-layer structure, and when the upper electrode layer 703 has a single-layer structure, it may be, for example, a polysilicon electrode or a metal electrode, and when the upper electrode layer 703 is a metal electrode, it may be formed, for example, by titanium nitride (TiN). The upper electrode layer 703 can constitute a capacitor with the capacitor dielectric layer 702 and the lower electrode layer 701 both inside the cylindrical structure and outside the cylindrical structure. In addition, in the edge region of the core element region I (i.e., the boundary region of the capacitor hole array), due to the presence of the lateral support layers (i.e., the middle support layer 601 and the top support layer 602), the capacitor dielectric layer 702 and the upper electrode layer 703 each have a sidewall structure with uneven topography corresponding to the middle support layer 601 and the top support layer 602 outside the cylindrical structure of the lower electrode layer 701, so that the portion of the upper electrode layer 703 in the edge region of the core element region I (i.e., the boundary region of the capacitor hole array) corresponding to the middle support layer 601 and the top support layer 602 protrudes in a direction away from the lower electrode layer 701, making the boundary of the capacitor array in the core element region I uneven. In addition, in this embodiment, the capacitor dielectric layer 702 and the upper electrode layer 703 sequentially extend to cover the surface of the bottom supporting layer 600 remaining on the peripheral circuit region II.
Referring to fig. 11, a top electrode filling layer 704 may be formed on the surface of the top electrode layer 703 by a chemical vapor deposition process, and the top electrode filling layer 704 fills the gap between the top electrode layers 703, that is, the top electrode filling layer 704 fills the gap between adjacent cylindrical structures and covers the above-formed structures. Preferably, the material of the upper electrode filling layer 704 includes undoped or boron doped polysilicon. The capacitor array is thus completed, i.e., capacitive structure 705a is formed in the central region I-1 and capacitive structure 705b is formed at the boundary I-2.
Since the width of the capacitor hole 700b is greater than the width of the capacitor hole 700a, the width of the capacitor structure 705b at the boundary I-2 (i.e., W1) is greater than the width of the capacitor structure 705a in the central region I-1 (i.e., W2), for example, W1 is W2 1.5. And the larger size of the capacitor hole 700b is beneficial to material filling, thereby improving the performance of the capacitor structure formed at the boundary I-2.
It should be noted that, in the present specification, the embodiments are described in a progressive manner, each embodiment focuses on differences from other embodiments, and the same and similar parts among the embodiments may be referred to each other. The above description is only for the purpose of describing the preferred embodiments of the present invention, and is not intended to limit the scope of the present invention, and any variations and modifications made by those skilled in the art according to the above disclosure are within the scope of the present invention.
In addition, it should be further noted that the terms "first", "second", third "and the like in the description are used for distinguishing various components, elements, steps and the like in the description, and are not used for indicating a logical relationship or a sequential relationship between the various components, elements, steps and the like unless otherwise specified or indicated. The term "and/or" as used herein means both or alternatively.

Claims (17)

1. An electrical contact structure of a semiconductor device, the electrical contact structure comprising:
a plurality of contact plugs formed over the core devices of the core device region of the semiconductor device, and a bottom of each of the contact plugs being in contact with the active region of the corresponding core device,
wherein the tops of at least two contact plugs formed at the boundary of the core element region are coupled together, and the contact plugs whose tops are coupled together include the outermost contact plug at the boundary.
2. The electrical contact structure of a semiconductor device according to claim 1, wherein all the contact plugs of which the top portions are joined together constitute an inverted U-shaped electrical contact structure or a comb-shaped electrical contact structure.
3. The electrical contact structure of a semiconductor device according to claim 2, wherein a plurality of word lines intersecting a plurality of the active regions and bit lines perpendicular to the word lines are formed in a core element region of the semiconductor device, and all contact plugs connected together at the top are arranged so as to cross at least one of the word lines and be aligned with the bit lines.
4. The electrical contact structure of a semiconductor device according to claim 1, further comprising mutually independent contact pads formed on top of the other contact plugs of the core device region and electrically contacting top portions of the respective contact plugs in one-to-one correspondence.
5. The electrical contact structure of a semiconductor device according to claim 1, wherein all the contact plugs whose tops are joined together are of an integrally formed structure, or wherein at least two contact plugs at the boundary are joined together at their tops by attaching the same contact pad.
6. The electrical contact structure of the semiconductor device of claim 1, wherein a capacitor structure is connected to the electrical contact structure, wherein the capacitor structure at the boundary has a first width, and the capacitor structure inside the boundary of the core device region has a second width, and wherein the first width is greater than the second width.
7. The electrical contact structure of a semiconductor device according to claim 6, wherein the first width is greater than 1.5 times the second width.
8. A semiconductor device, comprising:
a semiconductor substrate having a core element region in which a plurality of core elements are formed;
the interlayer dielectric layer covers the semiconductor substrate; and the number of the first and second groups,
the electrical contact structure of a semiconductor device according to any one of claims 1 to 7, wherein the electrical contact structure is formed in the interlayer dielectric layer, a bottom of each of the contact plugs of the electrical contact structure contacts with an active region of a corresponding core device, tops of at least two contact plugs formed at a boundary of the core device region in the electrical contact structure are connected together, and all the contact plugs connected at the top include the outermost contact plug at the boundary.
9. The semiconductor device according to claim 8, wherein the semiconductor device is a DRAM, the core element region is a memory region, the core element is a memory transistor, and the electrical contact structure is a storage node contact structure.
10. The semiconductor device according to claim 9, further comprising: and the capacitor structure is formed on the interlayer dielectric layer, the bottom of the capacitor structure is in contact with the electric contact structure, the capacitor structure at the boundary has a first width, the capacitor structure within the boundary of the core element area has a second width, and the first width is greater than the second width.
11. The semiconductor device of claim 10, wherein the first width is greater than 1.5 times the second width.
12. The semiconductor device of claim 9, wherein the semiconductor device comprises a plurality of word lines each intersecting a plurality of the active regions in the core cell region and a plurality of bit lines perpendicular to the word lines, all contact plugs connected together at the top crossing at least one of the word lines and aligned with the bit lines.
13. A method of fabricating an electrical contact structure of a semiconductor device, comprising:
providing a semiconductor substrate, wherein the semiconductor substrate is provided with a core element area, and a plurality of core elements are formed in the core element area;
forming an interlayer dielectric layer on the semiconductor substrate, and forming a plurality of contact holes in the interlayer dielectric layer, wherein each contact hole penetrates through the interlayer dielectric layer and exposes the active region of a corresponding core element;
contact plugs are formed in the contact holes, and the bottom of each contact plug contacts the active region of the corresponding core element, and the tops of at least two contact plugs formed at the boundary of the core element region are connected together, and the top of all the contact plugs connected together comprise the contact plug at the outermost side of the boundary.
14. The method of manufacturing an electrical contact structure of a semiconductor device according to claim 13, wherein when a plurality of contact holes are formed in the interlayer dielectric layer, the contact holes independent of each other are formed in the interlayer dielectric layer by one photolithography process, and then the interlayer dielectric layer is etched by another photolithography process so that tops of at least two contact holes at the boundary are communicated with each other for forming contact plugs whose tops are connected together; alternatively, the first and second electrodes may be,
forming mutually independent contact holes in the interlayer dielectric layer by one-time photoetching technology when a plurality of contact holes are formed in the interlayer dielectric layer; then, forming mutually independent contact plugs in the contact holes, etching the interlayer dielectric layer through another photoetching process to form a groove exposing the top side walls of at least two contact plugs at the boundary, and forming contact pads in the groove to connect the tops of the corresponding contact plugs together; alternatively, the first and second electrodes may be,
forming mutually independent contact holes in the interlayer dielectric layer by one-time photoetching technology when a plurality of contact holes are formed in the interlayer dielectric layer; then, forming mutually independent contact plugs in the contact holes, and then forming another interlayer dielectric layer to cover the interlayer dielectric layer with the contact plugs; and etching the other interlayer dielectric layer by another photoetching process to form a groove exposing the tops of at least two contact plugs at the boundary, and forming a contact pad in the groove so that the tops of the corresponding contact plugs are connected together.
15. A method of manufacturing a semiconductor device, comprising: a method of manufacturing an electrical contact structure for a semiconductor device according to any one of claims 13 and 14, wherein the electrical contact structure is formed on a semiconductor substrate having a core element region so as to be in electrical contact with the corresponding core element.
16. The method for manufacturing a semiconductor device according to claim 15, wherein the core element region is a memory region, the core element is a memory transistor, and the electrical contact structure is a storage node contact structure, the method for manufacturing a semiconductor device further comprising:
forming a lower electrode of a capacitor structure on the electrical contact structure
Forming a capacitance medium covering the lower electrode; and the number of the first and second groups,
and forming an upper electrode of a capacitor structure on the capacitor medium.
17. The method for manufacturing a semiconductor device according to claim 16, wherein the step of providing a semiconductor substrate having a core element region includes:
providing a semiconductor substrate, and defining a plurality of active regions in the semiconductor substrate;
forming a word line in the semiconductor substrate, the word line crossing the active region;
respectively forming a source region and a drain region in the active regions at two sides of the word line;
forming a bit line contact structure on the drain region; and
and forming a bit line on the bit line contact structure, wherein the bit line is crossed with the word line, and the bottom of a contact plug in the electric contact structure is contacted with the source region.
CN201910926990.4A 2019-09-27 2019-09-27 Semiconductor device, electric contact structure and manufacturing method thereof Pending CN111640747A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201910926990.4A CN111640747A (en) 2019-09-27 2019-09-27 Semiconductor device, electric contact structure and manufacturing method thereof
PCT/CN2020/079580 WO2021056984A1 (en) 2019-09-27 2020-03-17 Electrical contact structure, contact pad layout and structure, mask plate combination, and manufacturing method
US17/320,244 US20210272961A1 (en) 2019-09-27 2021-05-14 Contact structure, contact pad layout and structure, mask combination and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910926990.4A CN111640747A (en) 2019-09-27 2019-09-27 Semiconductor device, electric contact structure and manufacturing method thereof

Publications (1)

Publication Number Publication Date
CN111640747A true CN111640747A (en) 2020-09-08

Family

ID=72332323

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910926990.4A Pending CN111640747A (en) 2019-09-27 2019-09-27 Semiconductor device, electric contact structure and manufacturing method thereof

Country Status (1)

Country Link
CN (1) CN111640747A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112909169A (en) * 2021-01-28 2021-06-04 长鑫存储技术有限公司 Semiconductor structure and method for manufacturing semiconductor structure
US11417533B1 (en) 2021-05-20 2022-08-16 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
WO2022183313A1 (en) * 2021-03-01 2022-09-09 京东方科技集团股份有限公司 Shift register, gate driver circuit, and display panel
WO2022217785A1 (en) * 2021-04-15 2022-10-20 长鑫存储技术有限公司 Memory manufacturing method and memory
CN116209257A (en) * 2023-05-05 2023-06-02 长鑫存储技术有限公司 Semiconductor device and method for manufacturing the same
WO2024066235A1 (en) * 2022-09-27 2024-04-04 长鑫存储技术有限公司 Semiconductor structure and manufacturing method therefor

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112909169A (en) * 2021-01-28 2021-06-04 长鑫存储技术有限公司 Semiconductor structure and method for manufacturing semiconductor structure
CN112909169B (en) * 2021-01-28 2022-04-29 长鑫存储技术有限公司 Semiconductor structure and method for manufacturing semiconductor structure
WO2022183313A1 (en) * 2021-03-01 2022-09-09 京东方科技集团股份有限公司 Shift register, gate driver circuit, and display panel
CN115335890A (en) * 2021-03-01 2022-11-11 京东方科技集团股份有限公司 Shift register, grid drive circuit and display panel
CN115335890B (en) * 2021-03-01 2023-06-09 京东方科技集团股份有限公司 Shift register, grid driving circuit and display panel
WO2022217785A1 (en) * 2021-04-15 2022-10-20 长鑫存储技术有限公司 Memory manufacturing method and memory
US11417533B1 (en) 2021-05-20 2022-08-16 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
WO2024066235A1 (en) * 2022-09-27 2024-04-04 长鑫存储技术有限公司 Semiconductor structure and manufacturing method therefor
CN116209257A (en) * 2023-05-05 2023-06-02 长鑫存储技术有限公司 Semiconductor device and method for manufacturing the same

Similar Documents

Publication Publication Date Title
WO2021056984A1 (en) Electrical contact structure, contact pad layout and structure, mask plate combination, and manufacturing method
US8507980B2 (en) Semiconductor devices having bit line interconnections with increased width and reduced distance from corresponding bit line contacts and methods of fabricating such devices
KR100681851B1 (en) Semiconductor integrated circuit device and method of manufacturing the same
CN111640747A (en) Semiconductor device, electric contact structure and manufacturing method thereof
US7208391B2 (en) Method of manufacturing a semiconductor integrated circuit device that includes forming an isolation trench around active regions and filling the trench with two insulating films
US7807569B2 (en) Method of manufacturing a contact structure for a semiconductor device
KR100475257B1 (en) Semiconductor integrated circuit device and its manufacturing method
CN111640748A (en) Semiconductor device, electric contact structure thereof and manufacturing method
US7247906B2 (en) Semiconductor devices having DRAM cells and methods of fabricating the same
US7410892B2 (en) Methods of fabricating integrated circuit devices having self-aligned contact structures
US7511328B2 (en) Semiconductor device having raised cell landing pad and method of fabricating the same
CN111584489B (en) Semiconductor memory device and method of manufacturing the same
US6917067B2 (en) Semiconductor memory device and method of manufacturing the same
CN111640733A (en) Semiconductor device and contact pad layout, contact pad structure and mask plate combination thereof
US7205241B2 (en) Method for manufacturing semiconductor device with contact body extended in direction of bit line
KR20210022979A (en) Integrated circuit device and method of manufacturing the same
US20120119290A1 (en) Semiconductor device including protrusion type isolation layer
WO2021056985A1 (en) Electrical contact structure, mask plate combination, contact plug manufacturing method, and semiconductor device
CN210575953U (en) Semiconductor device and electrical contact structure thereof
JP4921981B2 (en) Manufacturing method of semiconductor memory cell
US20050121755A1 (en) Methods of fabricating integrated circuit conductive contact structures including grooves
US7687344B2 (en) Method for fabricating capacitor in semiconductor device
CN111640705A (en) Mask plate combination and contact plug manufacturing method, semiconductor device and manufacturing method thereof
CN210778604U (en) Semiconductor device and electrical contact structure thereof
CN210778577U (en) Semiconductor device and contact pad layout, contact pad structure and mask plate combination thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination