CN111630455A - 用于确定图案化过程参数的方法和设备 - Google Patents
用于确定图案化过程参数的方法和设备 Download PDFInfo
- Publication number
- CN111630455A CN111630455A CN201880087554.6A CN201880087554A CN111630455A CN 111630455 A CN111630455 A CN 111630455A CN 201880087554 A CN201880087554 A CN 201880087554A CN 111630455 A CN111630455 A CN 111630455A
- Authority
- CN
- China
- Prior art keywords
- overlap
- unit cell
- target
- structures
- patterning process
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 817
- 230000008569 process Effects 0.000 title claims abstract description 603
- 238000000059 patterning Methods 0.000 title claims abstract description 466
- 230000003287 optical effect Effects 0.000 claims abstract description 315
- 230000005855 radiation Effects 0.000 claims abstract description 269
- 238000005259 measurement Methods 0.000 claims abstract description 265
- 239000000758 substrate Substances 0.000 claims abstract description 264
- 230000008859 change Effects 0.000 claims abstract description 126
- 238000009826 distribution Methods 0.000 claims abstract description 126
- 238000013400 design of experiment Methods 0.000 claims description 230
- 210000001747 pupil Anatomy 0.000 description 207
- 239000010410 layer Substances 0.000 description 165
- 230000000875 corresponding effect Effects 0.000 description 127
- 238000013461 design Methods 0.000 description 97
- 239000013598 vector Substances 0.000 description 74
- 238000006073 displacement reaction Methods 0.000 description 68
- 230000000737 periodic effect Effects 0.000 description 64
- 239000011295 pitch Substances 0.000 description 56
- 239000011159 matrix material Substances 0.000 description 55
- 239000007787 solid Substances 0.000 description 55
- 238000005286 illumination Methods 0.000 description 50
- 230000004044 response Effects 0.000 description 45
- 238000004519 manufacturing process Methods 0.000 description 40
- 230000006870 function Effects 0.000 description 35
- 230000035945 sensitivity Effects 0.000 description 33
- 238000012545 processing Methods 0.000 description 30
- 239000000463 material Substances 0.000 description 28
- 238000013178 mathematical model Methods 0.000 description 28
- 238000012544 monitoring process Methods 0.000 description 28
- 230000000694 effects Effects 0.000 description 22
- 238000011156 evaluation Methods 0.000 description 22
- 238000005530 etching Methods 0.000 description 18
- 230000010287 polarization Effects 0.000 description 18
- 238000004364 calculation method Methods 0.000 description 16
- 238000004891 communication Methods 0.000 description 16
- 230000015654 memory Effects 0.000 description 16
- 238000004590 computer program Methods 0.000 description 15
- 238000012937 correction Methods 0.000 description 14
- 238000001228 spectrum Methods 0.000 description 13
- 238000012546 transfer Methods 0.000 description 12
- 238000011161 development Methods 0.000 description 11
- 230000018109 developmental process Effects 0.000 description 11
- 230000000670 limiting effect Effects 0.000 description 10
- 238000000691 measurement method Methods 0.000 description 10
- 230000000704 physical effect Effects 0.000 description 10
- 230000005540 biological transmission Effects 0.000 description 9
- 239000002131 composite material Substances 0.000 description 9
- 238000001459 lithography Methods 0.000 description 9
- 238000012986 modification Methods 0.000 description 9
- 230000004048 modification Effects 0.000 description 9
- 238000000206 photolithography Methods 0.000 description 9
- 238000003860 storage Methods 0.000 description 9
- 239000011800 void material Substances 0.000 description 8
- 230000001965 increasing effect Effects 0.000 description 7
- 230000033001 locomotion Effects 0.000 description 7
- 238000005457 optimization Methods 0.000 description 7
- 238000005520 cutting process Methods 0.000 description 6
- 230000003278 mimic effect Effects 0.000 description 6
- 238000003909 pattern recognition Methods 0.000 description 6
- 238000013519 translation Methods 0.000 description 6
- 230000004075 alteration Effects 0.000 description 5
- 230000006399 behavior Effects 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 238000007654 immersion Methods 0.000 description 5
- 239000007788 liquid Substances 0.000 description 5
- 230000007246 mechanism Effects 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 238000004458 analytical method Methods 0.000 description 4
- 230000001364 causal effect Effects 0.000 description 4
- 230000001276 controlling effect Effects 0.000 description 4
- 238000013500 data storage Methods 0.000 description 4
- 238000003384 imaging method Methods 0.000 description 4
- 230000006872 improvement Effects 0.000 description 4
- 238000007689 inspection Methods 0.000 description 4
- 230000002829 reductive effect Effects 0.000 description 4
- 238000002310 reflectometry Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000010008 shearing Methods 0.000 description 4
- 230000003068 static effect Effects 0.000 description 4
- 238000007619 statistical method Methods 0.000 description 4
- 102100029469 WD repeat and HMG-box DNA-binding protein 1 Human genes 0.000 description 3
- 101710097421 WD repeat and HMG-box DNA-binding protein 1 Proteins 0.000 description 3
- 238000004422 calculation algorithm Methods 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 230000002950 deficient Effects 0.000 description 3
- 238000012938 design process Methods 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 230000001939 inductive effect Effects 0.000 description 3
- 238000010801 machine learning Methods 0.000 description 3
- 238000001127 nanoimprint lithography Methods 0.000 description 3
- 238000012549 training Methods 0.000 description 3
- 238000012795 verification Methods 0.000 description 3
- 230000009471 action Effects 0.000 description 2
- 238000003491 array Methods 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 230000002596 correlated effect Effects 0.000 description 2
- 230000001419 dependent effect Effects 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000013401 experimental design Methods 0.000 description 2
- 239000004744 fabric Substances 0.000 description 2
- 238000009472 formulation Methods 0.000 description 2
- 238000013507 mapping Methods 0.000 description 2
- 238000007620 mathematical function Methods 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 230000010363 phase shift Effects 0.000 description 2
- 238000001259 photo etching Methods 0.000 description 2
- 238000004886 process control Methods 0.000 description 2
- 238000004088 simulation Methods 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910052724 xenon Inorganic materials 0.000 description 2
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 2
- 238000012935 Averaging Methods 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000013528 artificial neural network Methods 0.000 description 1
- 201000009310 astigmatism Diseases 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 230000008033 biological extinction Effects 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000005388 cross polarization Methods 0.000 description 1
- 238000002790 cross-validation Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000000835 fiber Substances 0.000 description 1
- 238000001914 filtration Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000002346 layers by function Substances 0.000 description 1
- 238000012417 linear regression Methods 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 230000005381 magnetic domain Effects 0.000 description 1
- 230000013011 mating Effects 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 238000007493 shaping process Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 230000009897 systematic effect Effects 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70633—Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70681—Metrology strategies
- G03F7/70683—Mark designs
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70681—Metrology strategies
- G03F7/706831—Recipe selection or optimisation, e.g. select or optimise recipe parameters such as wavelength, polarisation or illumination modes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/706843—Metrology apparatus
- G03F7/706847—Production of measurement radiation, e.g. synchrotron, free-electron laser, plasma source or higher harmonic generation [HHG]
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06T—IMAGE DATA PROCESSING OR GENERATION, IN GENERAL
- G06T7/00—Image analysis
- G06T7/0002—Inspection of images, e.g. flaw detection
- G06T7/0004—Industrial image inspection
- G06T7/0006—Industrial image inspection using a design-rule based approach
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06T—IMAGE DATA PROCESSING OR GENERATION, IN GENERAL
- G06T2207/00—Indexing scheme for image analysis or image enhancement
- G06T2207/30—Subject of image; Context of image processing
- G06T2207/30108—Industrial image inspection
- G06T2207/30148—Semiconductor; IC; Wafer
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Quality & Reliability (AREA)
- Computer Vision & Pattern Recognition (AREA)
- Theoretical Computer Science (AREA)
- Optics & Photonics (AREA)
- Plasma & Fusion (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Abstract
一种方法,所述方法包括:获得由来自衬底的多个结构中的每个结构重新引导的辐射的所检测的表示,所述衬底上另外具有器件图案,其中每个结构具有相应结构的与所述相应结构的相应名义实体配置相比有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的有意不同的实体配置造成不对称光学特性分布,并且其中图案化过程参数测量所述实体配置的改变;和基于所检测的表示且基于有意不同的实体配置来确定值,以设置、监控或校正用于确定所述图案化过程参数的测量选配方案。
Description
相关申请的交叉引用
本申请要求于2017年6月30日递交的欧洲申请17178949.8的优先权,所述欧洲申请的全部内容通过引用合并入本文中。
技术领域
本说明书涉及一种用于确定过程的参数(诸如重叠),例如用以在衬底上创建图案并且所确定的参数可以用于进行与处理有关的一个或更多个变量的设计、监控、调整等的方法和设备。
背景技术
光刻设备是将期望的图案施加至衬底上(通常施加至所述衬底的目标部分上)的机器。光刻设备可以用于例如集成电路(IC)或被设计成功能性的其它器件的制造中。在那种情况下,图案形成装置(替代地被称作掩模或掩模版)可以用于产生待形成于被设计成功能性的器件的单个层上的电路图案。可以将这种图案转印至衬底(例如硅晶片)上的目标部分(例如包括管芯的部分、一个管芯或若干管芯)上。典型地经由成像至设置于所述衬底上的辐射敏感材料(抗蚀剂)层上来进行所述图案的转印。通常,单个衬底将包含被连续地图案化的相邻目标部分的网络。已知光刻设备包括:所谓的步进器,其中通过一次性将整个图案曝光至目标部分上来照射每个目标部分;和所谓的扫瞄器,其中通过在给定方向(“扫描”方向)上经由辐射束来扫描所述图案的同时平行于或反向平行于此方向来同步地扫描所述衬底,来照射每个目标部分。也可能通过将所述图案压印至所述衬底上来将所述图案从所述图案形成装置转印至所述衬底。
发明内容
制造诸如半导体器件之类的器件典型地涉及使用一定数目的制作过程来处理衬底(例如半导体晶片)以形成所述器件的各个特征且常常形成多个层。典型地使用例如沉积、光刻、蚀刻、化学机械抛光和离子注入来制造和处理这些层和/或特征。可以在衬底上的多个管芯上制作多个器件,并且接着将所述多个器件分离成单独的器件。这种器件制造过程可以被认为是图案化过程。图案化过程涉及图案转印步骤,诸如使用光刻设备的光学和/或纳米压印光刻术,以在衬底上提供图案且典型地但可选地涉及一个或更多个相关的图案处理步骤,诸如由显影设备进行的抗蚀剂显影、使用焙烤工具焙烤所述衬底、由蚀刻设备进行的蚀刻所述图案等。另外,在所述图案化过程中涉及一个或更多个量测过程。
在图案化过程期间在各个步骤处使用量测过程以监控和/或控制所述过程。例如,量测过程用以测量衬底的一个或更多个特性,诸如在所述图案化过程期间形成于所述衬底上的特征的相对定位(例如对齐即配准、重叠、对准等)或尺寸(例如线宽、临界尺寸(CD)、厚度等),使得例如可以根据所述一个或更多个特性确定所述图案化过程的性能。如果一个或更多个特性是不可接受的(例如在所述特性的预定范围之外),则可以例如基于所述一个或更多个特性的测量结果来设计或变更所述图案化过程的一个或更多个变量,使得由所述图案化过程制造的衬底具有可接受的特性。
数十年来,随着光刻术和其它图案化过程技术的改进,功能性元件的尺寸已持续地减小,而每器件的功能性元件(诸如晶体管)的量已稳步地增加。同时,对在重叠、临界尺寸(CD)等方面的准确度的要求已变得越来越严格。将在所述图案化过程中不可避免地产生误差,诸如重叠中的误差、CD中的误差,等等。例如,可以由于光学像差、图案形成装置加热、图案形成装置误差和/或衬底加热而产生成像误差,并且可以依据例如重叠、CD等来表征所述成像误差。另外地或替代地,可以在所述图案化过程的其它部分中(诸如在蚀刻、显影、焙烤等中)引入误差,并且类似地,可以依据例如重叠、CD等来表征所述误差。所述误差可能造成在器件的功能实施方面的问题,包括器件功能实施的故障,或功能器件的一个或更多个电气问题。因此,期望能够表征一个或更多个这些误差且采取步骤来对于图案化过程进行设计、修改、控制等以减小或最小化这些误差中的一个或更多个误差。
在一方面中,提供一种方法,所述方法包括:获得由来自衬底的多个结构中的每个结构重新引导的辐射的所检测的表示,所述衬底的上方另外具有器件图案,其中每个结构具有与相应结构的相应名义实体配置相比所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中图案化过程参数测量所述实体配置的改变;和由硬件计算机系统基于所检测的表示且基于所述有意不同的实体配置来确定值,以设置、监控或校正用于确定所述图案化过程参数的测量选配方案。
在一方面中,提供一种方法,所述方法包括:获得从来自衬底的量测目标的多个实验设计(DoE)目标区域中的每个实验设计(DoE)目标区域重新引导的辐射的所检测的表示,其中每个DoE目标区域具有一结构,所述结构具有与相应结构的相应名义实体配置相比所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中每个DoE目标区域与某一相同的图案化过程参数的一种或更多种类型的不同集合相关联,一个或更多个图案化过程参数类型的每个集合测量所述相关联的DoE目标区域的所述实体配置的相应改变;和由硬件计算机系统基于所检测的表示且基于所述有意不同的实体配置来确定值,来设置、监控或校正用于确定所述图案化过程参数的测量选配方案。
在一方面中,提供一种方法,所述方法包括:将图案从图案形成装置转印至衬底;和使用所转印的图案来形成具有多个实验设计(DoE)目标区域的量测目标的至少一部分,其中每个实验设计(DoE)目标区域具有一结构,所述结构具有与相应结构的相应名义实体配置相比所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中每个实验设计(DoE)目标区域与某一相同的图案化过程参数的不同类型相关联,每个图案化过程参数类型测量相关联的子目标的实体配置的相应改变。
在一方面中,提供一种图案化器件图案,所述图案化器件图案包括:器件图案;和量测目标图案,所述量测目标图案与所述器件图案分离且用于形成量测目标以用于设置、监控或校正用以确定图案化过程参数的测量选配方案,所述测量选配方案基于由结构重新引导的辐射的所检测的表示来测量所述结构的实体配置的改变,其中在名义实体配置的情况下具有几何对称性、且具有与所述名义实体配置不同的所述结构的实体配置的所述结构造成不对称光学特性分布,所述量测目标图案包括与将要使用所述图案形成于所述衬底上的多个目标结构中的每个目标结构对应的图案,其中每个目标结构具有与相应目标结构的相应名义实体配置相比的所述相应结构的有意不同的实体配置,其中每个目标结构在所述相应名义实体配置的情况下具有几何对称性,其中所述目标结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中所述图案化过程参数测量所述目标结构的所述实体配置的改变。
在一方面中,提供一种用于设置、监控或校正用以确定图案化过程参数的测量选配方案的量测目标,所述测量选配方案基于由结构重新引导的辐射的所检测的表示来测量所述结构的实体配置的改变,其中在名义实体配置的情况下具有几何对称性、且具有与所述名义实体配置不同的所述结构的实体配置的所述结构造成所检测的表示中的不对称光学特性分布,所述量测目标包括:多个实验设计(DoE)目标区域,其中每个实验设计(DoE)目标区域具有一结构,所述结构具有与相应结构的相应名义实体配置相比的所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中每个实验设计(DoE)目标区域与某一相同的图案化过程参数的不同类型相关联,每个图案化过程参数类型测量相关联的子目标的实体配置的相应改变。
在一方面中,提供一种非暂时性计算机程序产品,所述计算机程序产品包括用于使处理器系统引起本文中描述的方法的执行的机器可读指令。在一方面中,提供一种计算机程序产品,所述计算机程序产品包括其上记录有指令的计算机非暂时性可读介质,所述指令在由计算机执行时实施本文中描述的方法或一个或更多个过程步骤。
在一方面中,提供一种用于测量图案化过程的对象的量测设备,所述量测设备被配置成执行如本文中描述的方法。在一方面中,提供一种用于检查图案化过程的对象的检查设备,所述检查设备是能够操作的以执行如本文中描述的方法。
在一方面中,提供一种系统,所述系统包括:量测设备,所述量测设备被配置成将辐射束提供至对象表面上且检测由所述对象表面上的结构重新引导的辐射;和如本文中描述的计算机程序产品。在实施例中,所述系统还包括光刻设备,所述光刻设备包括:支撑结构,所述支撑结构被配置成保持用以调制辐射束的图案形成装置;和投影光学系统,所述投影光学系统被布置成将经调制的辐射束投影至辐射敏感衬底上,其中所述对象是所述衬底。
在实施例中,提供一种系统,包括:硬件处理器系统;和非暂时性计算机可读储存介质,所述非暂时性计算机可读储存介质被配置成储存机器可读指令,其中所述机器可读指令在被执行时使所述硬件处理器系统执行如本文中描述的方法。
附图说明
现在将参考随附附图仅作为示例来描述实施例,在所述附图中:
图1示意性地描绘光刻设备的实施例;
图2示意性地描绘光刻单元或光刻簇的实施例;
图3A是用于根据实施例的使用提供某些照射模式的第一对照射孔来测量目标的测量设备的示意图;
图3B是针对给定照射方向的目标的衍射光谱的示意性细节;
图3C是在将测量设备用于基于衍射的重叠测量时提供另外的照射模式的第二对照射孔的示意性图示;
图3D是在使用测量设备以用于基于衍射的重叠测量时将第一和第二对孔进行组合的提供另外的照射模式的第三对照射孔的示意性示图;
图4示意性地描绘在衬底上的测量斑的轮廓和多周期性结构(例如多光栅)目标的形式;
图5示意性地描绘图3的设备中获得的图4的目标的图像;
图6示意性地描绘示例量测设备和量测技术;
图7示意性地描绘示例量测设备;
图8图示量测设备的照射斑与量测目标之间的关系;
图9示意性地描绘基于测量数据导出一个或更多个所关注的变量的过程;
图10A示意性地描绘示例单位单元、相关联的光瞳表示、和相关联的所导出光瞳表示;
图10B示意性地描绘示例单位单元、相关联的光瞳表示和相关联的所导出光瞳表示;
图10C示意性地描绘包括单位单元的一个或更多个实体实例的示例目标;
图11描绘获得加权以用于从所测量的辐射确定图案化过程参数的高阶流程;
图12描绘从所测量的辐射确定图案化过程参数的高阶流程;
图13描绘数据驱动技术的实施例的高阶流程;
图14描绘与实体几何模型相结合的数据驱动技术的实施例的高阶流程;
图15描绘与实体几何模型相结合的数据驱动技术的实施例的高阶流程;
图16描绘与实体几何模型相结合的数据驱动技术的实施例的高阶流程;
图17描绘与实体几何模型相结合的数据驱动技术的实施例的高阶流程;
图18示意性地描绘目标的多重叠单位单元的实施例;
图19示意性地描绘目标的多重叠单位单元的实施例;
图20描绘与两个不同重叠相对应的两个向量的示例曲线图;
图21A和图21B示意性地描绘非产品目标设计的示例;
图22A、图22B、图22C和图22D示意性地描绘非产品目标设计的示例;
图23A和图23B示意性地描绘非产品目标设计的示例;
图24A和图24B示意性地描绘非产品目标设计的示例;
图25A和图25B示意性地描绘非产品目标设计的示例;
图26A、图26B和图26C示意性地描绘非产品目标设计的示例;
图27A和图27B示意性地描绘非产品目标设计的示例;
图28A、图28B和图28C示意性地描绘非产品目标设计的示例;
图29A示意性地描绘器件图案特征的示例;
图29B、图29C、图29D和图29E示意性地描绘器件图案化过程的步骤的示例;
图29F示意性地描绘与图29B和图29D的步骤相对应的非产品目标设计的结构的示例;
图29G示意性地描绘从图29F的结构创建的非产品目标设计的示例;
图30A示意性地描绘器件图案特征的示例;
图30B示意性地描绘非产品目标设计的结构的示例;
图30C示意性地描绘从图30B的结构创建的非产品目标设计的示例;
图31对应于设计非产品目标设计的方法的实施例;
图32是设置、监控和/或校正(SMC)目标的示意性描绘;
图33是设置、监控和/或校正(SMC)目标的另一示意性描绘;
图34是用以创建衬底处的设置、监控和/或校正(SMC)目标实例的图案形成装置的图案的实施方式的示意性描绘;
图35是设置、监控和/或校正(SMC)目标的另一示意性描绘;
图36是针对与用于设置、监控和/或校正(SMC)目标的特定实验设计(DoE)子目标集合相关联的特定重叠类型的设定条件的实施例的图表;
图37是设置、监控和/或校正(SMC)目标的另一示意性描绘;
图38是针对与用于设置、监控和/或校正(SMC)目标的特定实验设计(DoE)子目标集合相关联的特定重叠类型的设定条件的实施例的另一图表;和
图39示意性地描绘可以实施本公开的实施例的计算机系统。
具体实施方式
在详细地描述实施例之前,呈现可供实施多个实施例的示例环境是有指导性的。
图1示意性地描绘光刻设备LA。所述设备包括:
-照射系统(照射器)IL,所述照射系统被配置成调节辐射束B(例如UV辐射或DUV辐射);
-支撑结构(例如掩模台)MT,所述支撑结构被构造成支撑图案形成装置(例如掩模)MA,并且连接至被配置成根据某些参数来准确地定位所述图案形成装置的第一定位装置PM;
-衬底台(例如晶片台)WT,所述衬底台被构造成保持衬底(例如涂覆有抗蚀剂的晶片)W且连接至被配置成根据某些参数来准确地定位所述衬底的第二定位装置PW;和
-投影系统(例如折射投影透镜系统)PS,所述投影系统被配置成将由图案形成装置MA赋予至辐射束B的图案投影至衬底W的目标部分C(例如包括一个或更多个管芯)上,所述投影系统被支撑在参考框架(RF)上。
照射系统可以包括用于引导、成形或控制辐射的各种类型的光学部件,诸如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件,或其任何组合。
支撑结构以取决于图案形成装置的方向、光刻设备的设计和其它条件(诸如图案形成装置是否被保持在真空环境中)的方式来支撑图案形成装置。支撑结构可以使用机械、真空、静电或其它夹持技术以保持图案形成装置。支撑结构可以是例如框架或台,其可以根据需要而是固定的或可移动的。支撑结构可以确保图案形成装置例如相对于投影系统处于期望的位置。可以认为本文中对术语“掩模版”或“掩模”的任何使用都与更上位的术语“图案形成装置”同义。
本文中所使用的术语“图案形成装置”应被广泛地解释为是指可以用于在衬底的目标部分中赋予图案的任何装置。在实施例中,图案形成装置是可以用于在辐射束的横截面中向辐射束赋予图案以便在衬底的目标部分中创建图案的任何装置。应注意,例如,如果被赋予至辐射束的图案包括相移特征或所谓的辅助特征,则所述图案可以不确切地对应于衬底的目标部分中的期望的图案。通常,被赋予至辐射束的图案将对应于目标部分中所创建的器件(诸如集成电路)中的特定功能层。
图案形成装置可以是透射型的或反射型的。图案形成装置的示例包括掩模、可编程反射镜阵列和可编程LCD面板。掩模在光刻中是众所周知的,并且包括诸如二元、交替相移和衰减相移的掩模类型,以及各种混合式掩模类型。可编程反射镜阵列的示例使用小反射镜的矩阵布置,所述小反射镜中的每个小反射镜可以被单独地倾斜,以便在不同方向上对入射辐射束进行反射。倾斜的反射镜在由反射镜矩阵反射的辐射束中赋予图案。
本文中所使用的术语“投影系统”应被广泛地解释为涵盖适于所使用的曝光辐射、或适于诸如浸没液体的使用或真空的使用之类的其它因素的任何类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统,或其任何组合。可以认为本文中对术语“投影透镜”的任何使用都与更上位的术语“投影系统”同义。
投影系统PS具有可以是非均一的且可能影响成像到衬底W上的图案的光学传递函数。对于非偏振辐射,这些效应可以由两个纯量映射或标量图相当良好地描述,所述两个标量图描述了作为所述投影系统PS的光瞳平面中的位置的函数的射出所述投影系统PS的辐射的透射(变迹)和相对相位(像差)。可以将可以被称作透射映射和相对相位映射的这些标量图表达为基底函数的完整集合的线性组合。特别方便的集合是泽尼克多项式,所述泽尼克多项式形成了在单位圆上定义的正交多项式的集合。每个标量图的确定可以涉及确定在这种展开式中的系数。由于泽尼克多项式在单位圆上正交,因此可以通过依次计算所测量的标量图与每个泽尼克多项式的内积、并且将这种内积除以所述泽尼克多项式的范数的平方,来确定泽尼克系数。
透射映射和相对相位映射取决于场和系统。即,通常,每个投影系统PS将针对每个场点(即,针对投影系统PS的像平面中的每个空间部位)具有不同的泽尼克展开式。可以通过将辐射(例如)从投影系统PS的物平面(即,图案形成装置MA的平面)中的点状源投影通过所述投影系统PS且使用剪切干涉仪以测量波前(即,具有相同相位的点的轨迹)来确定投影系统PS在其光瞳平面中的相对相位。剪切干涉仪是共同路径干涉仪,因此,有利地,无需次级参考束来测量波前。剪切干涉仪可以包括:衍射光栅,例如,在投影系统的像平面(即,衬底台WT)中的二维栅格;和检测器,所述检测器被布置成检测与投影系统PS的光瞳平面共轭的平面中的干涉图案。干涉图案是与辐射的相位的相对于在剪切方向上在光瞳平面中的坐标的导数相关的。检测器可以包括感测元件的阵列,诸如电荷耦合器件(CCD)。
光刻设备的投影系统PS可以不产生可见的条纹,且因此,可以使用相位步进技术(诸如例如移动所述衍射光栅)来增强波前确定的准确度。可以在所述衍射光栅的平面中和在与测量的扫描方向垂直的方向上执行步进。步进范围可以是一个光栅周期,并且可以使用至少三个(均一地分布的)相位步进。因而,例如,可以在y方向上执行三次扫描测量,每次扫描测量针对在x方向上的不同位置来执行。衍射光栅的这种步进将相位变化有效地转换成强度变化,从而允许确定相位信息。所述光栅可以在与衍射光栅垂直的方向(z方向)上步进以校准所述检测器。
可以通过将辐射(例如)从投影系统PS的物平面(即,图案形成装置MA的平面)中的点状源投影通过所述投影系统PS、且使用检测器来测量与投影系统PS的光瞳平面共轭的平面中的辐射的强度,来确定投影系统PS在其光瞳平面中的透射(变迹)。可以使用与用于测量波前以确定像差的检测器同一个检测器。
投影系统PS可以包括多个光学(例如,透镜)元件且还可以包括调整机构AM,所述调整机构被配置成调整所述光学元件中的一个或更多个光学元件以便校正像差(跨越整个场的光瞳平面的相位变化)。为了实现这种校正,调整机构可以是可操作的从而以一种或更多种不同的方式操控所述投影系统PS内的一个或更多个光学(例如,透镜)元件。投影系统可以具有一坐标系,其中其光轴在z方向上延伸。所述调整机构可以是可操作的以进行以下各项的任何组合:使一个或更多个光学元件移位;使一个或更多个光学元件倾斜;和/或使一个或更多个光学元件变形。光学元件的移位可以在任何方向(x、y、z或其组合)上进行。光学元件的倾斜典型地是通过围绕在x和/或y方向上的轴旋转来从垂直于光轴的平面偏离,但对于非旋转对称的非球面光学元件,可以使用围绕z轴的旋转。光学元件的变形可以包括低频形状(例如,像散)和/或高频形状(例如,自由形式非球面)。可以例如通过使用一个或更多个致动器以对光学元件的一个或更多个侧施加力、和/或通过使用一个或更多个加热元件以加热光学元件的一个或更多个选定区,来执行光学元件的变形。通常,不可能调整投影系统PS来校正变迹(即,跨越所述光瞳平面的透射变化)。可以当设计用于所述光刻设备LA的图案形成装置(例如,掩模)MA时使用投影系统PS的透射映射。使用计算光刻技术,图案形成装置MA可以被设计为用于至少部分地校正变迹。
如这里所描绘的,所述设备属于透射类型(例如,使用透射型掩模)。替代地,所述设备可以属于反射类型(例如,使用上文提及的类型的可编程反射镜阵列,或使用反射掩模)。
光刻设备可以属于具有两个(双平台)或更多个台(例如,两个或更多个衬底台WTa、WTb,两个或更多个图案形成装置台,在没有专用于例如促成测量和/或清洁等的衬底的情况下在投影系统下方的衬底台WTa和台WTb)的类型。在这些“多平台”机器中,可能并行地使用额外的台,或可以在一个或更多个台上进行预备步骤的同时将一个或更多个其它台用于曝光。例如,可以进行使用对准传感器AS的对准测量和/或使用水平传感器LS的水平(高度、倾角等)测量。
光刻设备也可以属于如下类型:其中衬底的至少一部分可以由具有相对高折射率的液体(例如,水)覆盖,以便填充介于投影系统与衬底之间的空间。也可以将浸没液体施加至所述光刻设备中的其它空间,例如,介于图案形成装置与投影系统之间的空间。浸没技术在本领域中被众所周知地用于增大投影系统的数值孔径。如本文中所使用的术语“浸没”不意味着诸如衬底之类的结构必须浸没在液体中,而是仅意味着液体在曝光期间位于投影系统与衬底之间。
参考图1,照射器IL接收来自辐射源SO的辐射束。例如,当源是准分子激光器时,源和光刻设备可以是分离的实体。在这些情况下,不认为所述源形成光刻设备的部分,并且辐射束借助于包括例如合适的定向反射镜和/或扩束器的束传递系统BD从源SO传递至照射器IL。在其它情况下,例如,当源为汞灯时,源可以是光刻设备的集成部分。源SO和照射器IL连同束传递系统BD(在需要时)可以被称作辐射系统。
照射器IL可以包括被配置成调整辐射束的角强度分布的调整器AD。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别被称作σ-外部和-σ内部)。另外,照射器IL可以包括各种其它部件,诸如积分器IN和聚光器CO。照射器可以用于调节辐射束,以在其横截面中具有期望的均一性和强度分布。
辐射束B入射到被保持在支撑结构(例如,掩模台)MT上的图案形成装置(例如,掩模)MA上,并且由所述图案化器件图案化。在已穿越所述图案形成装置MA的情况下,辐射束B传递通过投影系统PS,投影系统PS将所述束聚焦至衬底W的目标部分C上。借助于第二定位装置PW和位置传感器IF(例如,干涉装置、线性编码器、2-D编码器或电容性传感器),可以准确地移动衬底台WT,例如,以便将不同的目标部分C定位在辐射束B的路径中。类似地,第一定位装置PM和另一位置传感器(其在图1中未明确地描绘)可以用于例如在从掩模库的机械获取之后、或在扫描期间相对于辐射束B的路径来准确地定位所述图案形成装置MA。通常,可以借助于形成第一定位装置PM的部分的长行程模块(粗定位)和短行程模块(精定位)来实现支撑结构MT的移动。类似地,可以使用形成第二定位装置PW的部分的长行程模块和短行程模块来实现衬底台WT的移动。在步进器(相对于扫瞄器)的情况下,支撑结构MT可以仅连接至短行程致动器,或可以是固定的。可以使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA和衬底W。尽管如所图示的衬底对准标记占据专用的目标部分,但所述衬底对准标记可以位于目标部分之间的空间中(这些标记被称为划道对准标记)。类似地,在多于一个管芯被设置于图案形成装置MA上的情形中,图案形成装置对准标记可以位于所述管芯之间。
所描绘的设备可以用于以下模式中的至少一种模式中:
1.在步进模式中,在将被赋予至辐射束的整个图案一次性投影至目标部分C上的同时,使支撑结构MT和衬底台WT保持基本上静止(即,单次静态曝光)。接着,使衬底台WT在X和/或Y方向上移位,使得可以曝光不同的目标部分C。在步进模式中,曝光场的最大大小限制了在单次静态曝光中成像的目标部分C的大小。
2.在扫描模式中,在将被赋予至辐射束的图案投影至目标部分C上的同时,同步地扫描支撑结构MT和衬底台WT(即,单次动态曝光)。可以由投影系统PS的放大率(缩小率)和图像反转特性来确定衬底台WT相对于支撑结构MT的速度和方向。在扫描模式中,曝光场的最大大小限制了在单次动态曝光中的目标部分的宽度(在非扫描方向上),而扫描运动的长度确定了目标部分的高度(在扫描方向上)。
3.在另一模式中,在将被赋予至辐射束的图案投影至目标部分C上时,使支撑结构MT保持基本上静止,从而保持可编程图案形成装置,并且移动或扫描衬底台WT。在这种模式中,通常使用脉冲式辐射源,并且在衬底台WT的每次移动之后或在扫描期间的连续辐射脉冲之间根据需要来更新可编程图案形成装置。这种操作模式可以易于被应用至利用可编程图案形成装置(诸如上文提及的类型的可编程反射镜阵列)的无掩模光刻术。
也可以使用对上文描述的使用模式的组合和/或变化或完全不同的使用模式。
如图2所示出的,光刻设备LA可以形成光刻单元LC(有时也被称作光刻元或光刻簇)的部分,光刻单元LC也包括用于对衬底执行曝光前过程和曝光后过程的设备。通常,这些设备包括用于沉积一个或更多个抗蚀剂层的一个或更多个旋涂器SC、用于显影经曝光的抗蚀剂的一个或更多个显影器DE、一个或更多个激冷板CH和/或一个或更多个焙烤板BK。衬底输送装置或机器人RO从输入端口I/O1、输出端口I/O2拾取一个或更多个衬底,在不同的过程设备之间移动这些衬底、且将它们传递至光刻设备的进料台LB。常常被统称为涂覆显影系统或轨道(track)的这些设备处于涂覆显影系统控制单元或轨道控制单元TCU的控制下,涂覆显影系统控制单元或轨道控制单元TCU自身受管理控制系统SCS控制,管理控制系统SCS也经由光刻控制单元LACU来控制光刻设备。因此,不同的设备可以被操作以最大化吞吐量和处理效率。
为了正确地且一致地曝光由光刻设备曝光的衬底,需要检查曝光的衬底以测量或确定一个或更多个属性,诸如重叠(其可以例如在叠置层中的结构之间,或在同一层中的已由例如双重图案化过程分别提供至所述层的结构之间)、线厚度、临界尺寸(CD)、聚焦偏移、材料属性等。因此,其中定位有光刻元LC的制造设施也典型地包括量测系统MET,量测系统MET接收已在所述光刻元中被处理的衬底W中的一些或全部衬底。测量系统MET可以是光刻元LC的部分,例如,其可以是所述光刻设备LA的部分。
可以将量测结果直接或间接地提供至管理控制系统SCS。如果检测到误差,则可以对后续衬底的曝光(尤其在若干可以足够迅速且快速完成检查使得所述批量的一个或更多个其它衬底仍待曝光的情况下)和/或对曝光的衬底的后续曝光进行调整。此外,已被曝光的衬底可以被剥离和返工以改善良率,或被舍弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的那些目标部分执行另外的曝光。
在量测系统MET内,量测设备用于确定衬底的一个或更多个属性,并且特别是确定不同衬底的一个或更多个属性如何变化或同一衬底的不同层在不同层间如何变化。量测设备可以被集成至光刻设备LA或光刻元LC中,或可以是单独的装置。为了实现快速测量,期望使量测设备紧接在曝光之后测量经曝光的抗蚀剂层的一个或更多个属性。然而,抗蚀剂中的潜像具有低对比度(即,在已曝光至辐射的抗蚀剂的部分与尚未曝光至辐射的抗蚀剂的部分之间仅存在非常小的折射率差),且不是所有量测设备都具有足够的灵敏度以进行潜像的有用测量。因此,可以在曝光后焙烤步骤(PEB)之后进行测量,曝光后焙烤步骤通常是对经曝光的衬底进行的第一步骤且增加了抗蚀剂的经曝光的部分与未曝光的部分之间的对比度。在这个阶段,抗蚀剂中的图像可以被称为半潜像。也可能对经显影的抗蚀剂图像进行测量(这时,抗蚀剂的经曝光的部分或未曝光的部分已被移除),或在诸如蚀刻的图案转印步骤之后对经显影的抗蚀剂图像进行测量。后一可能性限制了对有缺陷衬底进行返工的可能性,但仍可以提供有用的信息。
为了实现量测,可以将一个或更多个目标设置于衬底上。在实施例中,目标被专门设计且可以包括周期性结构。在实施例中,目标是器件图案的一部分,例如是器件图案的周期性结构。在实施例中,器件图案是存储器装置的周期性结构(例如,双极晶体管(BPT)、位线接点(BLC)等结构)。
在实施例中,衬底上的目标可以包括一个或更多个1-D周期性结构(例如,光栅),其被印制成使得在显影之后,所述周期性结构特征由实体抗蚀剂线形成。在实施例中,所述目标可以包括一个或更多个2-D周期性结构(例如,光栅),其被印制成使得在显影之后,所述一个或更多个周期性结构由抗蚀剂中的实体抗蚀剂导柱或通孔形成。栅条、导柱或通孔可被可替代地被蚀刻至衬底中(例如,被蚀刻至衬底上的一个或更多个层中)。
在实施例中,图案化过程的所关注的参数中的一个参数是重叠。可以使用暗场散射量测术来测量重叠,其中阻挡了零衍射阶(对应于镜面反射),并且仅处理较高阶。可以在PCT专利申请公开号WO2009/078708和公开号WO 2009/106279中发现暗场量测的示例,所述专利申请公开的全文由此以引用方式并入。美国专利申请公开US2011-0027704、US2011-0043791和US2012-0242970中已描述所述技术的进一步开发,所述专利申请公开的全文由此以引用方式并入。使用衍射阶的暗场检测的基于衍射的重叠能够实现对较小目标的重叠测量。这些目标可以小于照射斑且可以由衬底上的器件产品结构环绕。在实施例中,可以在一次辐射捕获中测量多个目标。
图3A中示意性地示出适合用于实施例中以对(例如)重叠进行测量的量测设备。图3B中更详细地图示目标T(包括诸如光栅之类的周期性结构)和衍射射线。量测设备可以是单独的装置,或被并入(例如)测量站处的所述光刻设备LA中,或被并入所述光刻单元LC中。在整个所述设备中具有若干分支的光轴由虚线O表示。在这个设备中,由输出11(例如,诸如激光器或氙气灯之类的源,或连接至源的开口)发射的辐射由包括透镜12、14和物镜16的光学系统经由棱镜15而引导至衬底W上。这些透镜被布置呈4F布置的双重序列。可以使用不同的透镜布置,只要所述透镜布置仍将衬底图像提供至检测器上。
在实施例中,透镜布置允许访问即通往中间光瞳平面以用于空间-频率滤光。因此,可以通过在呈现衬底平面的空间光谱的平面(这里被称作(共轭)光瞳平面)中限定空间强度分布来选择所述辐射入射到所述衬底上的角度范围。特别地,可以例如通过在作为物镜光瞳平面的背向投影式图像的平面中在透镜12与14之间插入合适形式的孔板13来进行这种选择。在所图示示例中,孔板13具有不同的形式(被标注为13N和13S),从而允许选择不同的照射模式。本示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13N提供来自仅出于描述起见而被指定为“北”的方向的离轴照射。在第二照射模式中,孔板13S用于提供类似的照射,但类似的照射来自被标注为“南”的相反的方向。通过使用不同孔,其它照射模式是可能的。光瞳平面的其余部分理想地暗,这是因为期望的照射模式外部的任何不必要辐射可干涉期望的测量信号。
如图3B中所示出的,目标T被放置为使得衬底W大体上垂直于物镜16的光轴O。与轴线O成角度而照射于目标T上的照射射线I引起一个零阶射线(实线0)和两个一阶射线(点划道+1和双点划道-1)。在利用过填充的小目标T的情况下,这些射线是覆盖包括量测目标T和其它特征的衬底区域的许多平行射线中的仅一条射线。由于板13中的孔具有有限的宽度(即,该有限的宽度是用以准许有用量的辐射进入所必需的),则入射射线I将实际上将占据一定角度范围,并且衍射射线0和+1/-1将稍微散开。根据小目标的点扩散函数,每个阶+1和-1将在一定角度范围上进一步展开,而不是如所示出的单条理想射线。应注意,周期性结构间距和照射角度可以被设计或被调整成使得进入所述物镜的一阶射线与中心光轴紧密地对准。图3A和图3B中所图示的射线被示出为稍微离轴,仅能够使它们在图中被更容易地区分。由衬底W上的所述目标衍射的至少0阶和+1阶由物镜16收集,并且被往回引导通过棱镜15。
返回至图3A,通过指定被标注为北(N)和南(S)的完全相反的孔来图示第一照射模式和第二照射模式两者。当入射射线I来自所述光轴的北侧时,即,当使用孔板13N来应用所述第一照射模式时,被标注为+1(N)的+1衍射射线进入所述物镜16。与此对比,当使用孔板13S来应用所述第二照射模式时,-1衍射射线(被标注为-1(S))是进入所述透镜16的衍射射线。因而,在实施例中,通过在某些条件下测量所述目标两次(例如,在使所述目标旋转或改变所述照射模式或改变成像模式以分离地获得-1衍射阶强度和+1衍射阶强度之后)来获得测量结果。针对给定目标来比较这些强度会提供所述目标中的不对称性的测量,并且所述目标中的不对称性可以用作光刻过程的参数(例如,重叠)的指标。在上文描述的情形下,改变所述照射模式。
分束器17将衍射束划分成两个测量分支。在第一测量分支中,光学系统18使用零阶衍射束和一阶衍射束来在第一传感器19(例如,CCD或CMOS传感器)上形成所述目标的衍射光谱(光瞳平面图像)。每个衍射阶射中传感器上的不同点,使得图像处理可以比较和对比多个阶。由传感器19捕获的所述光瞳平面图像可以用于聚焦所述量测设备和/或对强度测量结果进行归一化。所述光瞳平面图像也可以用于诸如重构之类的其它测量目的,如下文进一步描述的。
在第二测量分支中,光学系统20、22在传感器23(例如,CCD或CMOS传感器)上形成所述衬底W上的所述目标的图像。在第二测量分支中,将孔径光阑21设置于与物镜16的光瞳平面共轭的平面中。孔径光阑21用于阻挡零阶衍射束,使得形成在传感器23上的所述目标的所述图像由-1或+1阶束形成。与由传感器19和23测量的图像有关的数据被输出至处理器和控制器PU,处理器和控制器PU的功能将取决于正被执行的测量的特定类型。应注意,这里在广义上使用术语“图像”。只要存在-1阶和+1阶中的一个阶,则将不形成如此的周期性结构特征(例如,光栅线)的图像。
图3中所示出的孔板13和光阑21的特定形式仅是示例。在另一实施例中,使用所述目标的同轴照射,并且使用具有离轴孔的孔径光阑以将大体上仅一个一阶衍射辐射传递至所述传感器。在又一其它实施例中,代替一阶束或除了一阶束以外,二阶束、三阶束和更高阶束(图3中未图示)也可以用于测量中。
为了使照射能够适应于这些不同类型的测量,孔板13可以包括围绕圆盘而形成的一定数目的孔图案,所述圆盘旋转以使期望的图案处于适当位置。应注意,使用孔板13N或13S来测量在一个方向(X或Y方向,取决于设置)上定向的目标的周期性结构。为了测量正交周期性结构,可以实施达90°和270°的所述目标的旋转。图3C和图3D中示出不同的孔板。图3C图示离轴照射模式的两种另外的类型。在图3C的第一照射模式中,孔板13E提供来自仅出于描述起见而相对于先前描述的“北”指定为“东”的方向的离轴照射。在图3C的第二照射模式中,孔板13W用于提供类似的但来自被标注为“西”的相反方向的照射。图3D图示离轴照射模式的两种另外的类型。在图3D的第一照射模式中,孔板13NW提供来自被指定为如先前描述的“北”和“西”的方向的离轴照射。在第二照射模式中,孔板13SE用于提供类似的但来自被标注为如先前描述的“南”和“东”的相反方向的照射。例如,上文提及的先前公布的专利申请公开中描述了所述设备的这些和众多其它变型和应用的使用。
图4描绘了形成在衬底上的示例复合量测目标T。所述复合目标包括紧密地定位在一起的四个周期性结构(在这种情况下,为光栅)32、33、34、35。在实施例中,可以使周期性结构布局小于测量斑(即,所述周期性结构布局被过填充)。因而,在实施例中,周期性结构足够紧密地定位在一起,使得它们都在由所述量测设备的所述照射束形成的测量斑31内。在所述情况下,所述四个周期性结构因而都被同时地照射且同时地成像到传感器19和23上。在专用于重叠测量的示例中,周期性结构32、33、34、35自身是由重叠周期性结构而形成的复合周期性结构(例如,复合光栅),即,周期性结构在形成于衬底W上的所述器件的不同层中被图案化且使得一个层中的至少一个周期性结构与不同层中的至少一个周期性结构重叠。这种目标可以具有在20μm×20μm内或在16μm×16μm内的外部尺寸。另外,所有周期性结构用于测量特定一对的层之间的重叠。为了促成目标能够测量多于单对的层,周期性结构32、33、34、35可以具有被不同偏置的重叠偏移,以便促成在其中形成有复合周期性结构的不同部分的不同层之间的重叠的测量。因而,用于所述衬底上的所述目标的所有周期性结构将会用于测量一对层,并且用于所述衬底上的另一相同目标的所有周期性结构将会用于测量另一对层,其中不同的偏置促成在所述层对之间进行区分。
返回至图4,周期性结构32、33、34、35也可以在它们的方向方面不同(如所示出的),以便在X和Y方向上衍射入射辐射。在一个示例中,周期性结构32和34是分别具有+d、-d的偏置的X方向周期性结构。周期性结构33和35可以是分别具有偏移+d和-d的Y方向周期性结构。虽然图示四个周期性结构,但另一实施例可以包括较大的矩阵以获得期望的准确度。例如,九个复合周期性结构的3×3阵列可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。可以在由传感器23捕获的图像中识别这些周期性结构的单独的图像。
图5示出在使用来自图3D的孔板13NW或13SE的情况下在图3的设备中使用图4的目标而可以形成在传感器23上且由传感器23检测的图像的示例。虽然传感器19不能分辨不同的单独的周期性结构32至35,但传感器23可以分辨不同的单独的周期性结构32至35。暗矩形表示所述传感器上的所述图像的场,在所述场内,衬底上的照射斑31被成像至对应的圆形区域41中。在所述场内,矩形区域42至45表示所述周期性结构32至35的图像。在未定位在划道中的情况或除了定位在划道中以外的情况下,所述目标也可以定位在器件产品特征之中。如果周期性结构位于器件产品区域中,则这种图像场的周边中也能够看见器件特征。处理器和控制器PU使用图案识别来处理这些图像,以识别周期性结构32至35的单独的图像42至45。以这种方式,所述图像不必须在所述传感器框架内的特定部位处非常精确地对准,这极大地改善所述测量设备整体上的生产量。
一旦已识别所述周期性结构的单独的图像,则可以例如通过对所识别的区域内的选定像素强度值进行平均化或求和来测量那些单独的图像的强度。可以将所述图像的强度和/或其它属性彼此进行比较。可以组合这些结果以测量所述光刻过程的不同参数。重叠性能是这种参数的示例。
在实施例中,图案化过程的所关注的参数中的一个参数是特征宽度(例如,CD)。图6描绘了可以实现特征宽度确定的高度示意性示例量测设备(例如,散射仪)。所述量测设备包括将辐射投影至衬底W上的宽带(白光)辐射投影仪2。被重新引导的辐射被传递至光谱仪检测器4,所述光谱仪检测器测量镜面反射辐射的光谱10(强度作为波长的函数),如(例如)在左下方的曲线图中所示出的。根据这种数据,可以由处理器PU(例如)通过严密耦合波分析和非线性回归或通过与图6的右下方所示出的模拟光谱库的比较来重构导致所检测的光谱的结构或轮廓。通常,对于重构,所述结构的一般形式是已知的,并且根据供制造所述结构的过程的知识来假定一些变量,从而仅留下所述结构的几个变量以根据所测量的数据来确定。这种量测设备可以被配置成正入射量测设备或斜入射量测设备。此外,除了通过重构进行参数的测量以外,角分辨散射测量也有用于产品和/或抗蚀剂图案中的特征的不对称性的测量。不对称性测量的特定应用是针对重叠的测量,其中所述目标包括叠加在另一组周期性特征上的一组周期性特征。例如,全文并入本文中的美国专利申请公开US2006-066855中描述了呈这种方式的不对称性测量的概念。
图7图示适合用于本文中所披露的本发明的实施例中的量测设备100的示例。全文以引用方式并入本文中的美国专利申请号US2006-033921和申请号US 2010-201963中更详细地解释这种类型的量测设备的操作原理。在整个所述设备中具有若干分支的光轴由虚线O表示。在这种设备中,由源110(例如,氙气灯)发射的辐射经由光学系统被引导至衬底W上,所述光学系统包括:透镜系统120、孔板130、透镜系统140、部分反射表面150和物镜160。在实施例中,这些透镜系统120、140、160被布置呈4F布置的双重序列。在实施例中,使用透镜系统120来准直由辐射源110发射的辐射。可以根据需要使用不同的透镜布置。可以通过在呈现所述衬底平面的空间光谱的平面中限定空间强度分布,来选择所述辐射入射到所述衬底上的角度范围。特别地,可以通过在作为物镜光瞳平面的背向投影式图像的平面中在透镜120与140之间插入合适形式的孔板130来进行这种选择。通过使用不同孔,不同强度分布(例如,环形、偶极等)是可能的。在径向和周边方向上的照射的角分布,以及诸如辐射的波长、偏振和/或相干性之类的属性都可以被调整以获得期望的结果。例如,一个或更多个干涉滤光器130(参见图9)可以设置于源110与部分反射表面150之间以选择在(比如)400nm至900nm或甚至更低(诸如200nm至300nm)范围内的所关注的波长。所述干涉滤光器可以是可调谐的,而不是包括不同滤光器的集合。可以使用光栅来代替干涉滤光器。在实施例中,一个或更多个偏振器170(参见图9)可以设置于源110与部分反射表面150之间以选择所关注的偏振。偏振器可以是可调谐的,而非包括不同偏振器的集合。
如图7中所示出的,所述目标T被放置为使得衬底W垂直于物镜160的光轴O。因而,来自源110的辐射由部分反射表面150反射且经由物镜160聚焦至衬底W上的目标T上的照射斑S(参见图8)中。在实施例中,物镜160具有高数值孔径(NA),期望地为至少0.9且至少0.95。浸没量测设备(使用相对高折射率的流体,诸如水)甚至可以具有大于1的数值孔径。
成角度地偏离轴线O而聚焦至照射斑的照射射线170、172引起衍射射线174、176。应记住,这些射线仅是覆盖包括目标T的所述衬底的区域的许多平行射线中的一条射线。照射斑内的每个元件位于所述量测设备的视场内。由于板130中的孔具有有限的宽度(即,该有限的宽度是用以准许有用量的辐射进入所必需的,则入射射线170、172实际上将占据一定角度范围,并且衍射射线174、176将稍微散开。根据小目标的点扩散函数,每个衍射阶将在一定角度范围上进一步展开,而不是如所示出的单条理想射线。
由衬底W上的所述目标衍射的至少0阶由物镜160收集,并且被往回引导通过部分反射表面150。光学元件180将衍射束的至少一部分提供至光学系统182,光学系统182使用零阶和/或一阶衍射束在传感器190(例如,CCD或CMOS传感器)上形成目标T的衍射光谱(光瞳平面图像)。在实施例中,设置孔186以滤出某些衍射阶使得将特定衍射阶提供至传感器190。在实施例中,所述孔186允许大体上或主要仅零阶辐射到达传感器190。在实施例中,所述传感器190可以是二维检测器,使得可以测量衬底目标T的二维角散射光谱。所述传感器190可以是例如CCD或CMOS传感器阵列,并且可以使用例如每帧40毫秒的积分时间。所述传感器190可以用于测量在单个波长(或窄波长范围)情况下的被重新引导的辐射的强度、分别在多个波长被重新引导的辐射的强度,或在一定波长范围上积分的被重新引导的辐射的强度。此外,所述传感器可以用于分别测量具有横向磁偏振和/或横向电偏振的辐射的强度,和/或横向磁偏振辐射与横向电偏振辐射之间的相位差。
可选地,光学元件180将衍射束的至少一部分提供至测量分支200以在传感器230(例如,CCD或CMOS传感器)上形成所述衬底W上的所述目标的图像。测量分支200可以用于各种辅助功能,诸如聚焦所述量测设备(即,使所述衬底W能够与物镜160焦点对准,即对焦),和/或用于引言中所提及类型的暗场成像。
为了针对光栅的不同大小和形状提供自定义视场,在从源110至物镜160的路径上在所述透镜系统140内设置可调整场光阑300。场光阑300包含孔302且位于与目标T的平面共轭的平面中,使得照射斑变为孔302的图像。可以根据放大因子来缩放所述图像,或孔与照射斑可以是1:1的大小关系。为了使照射可以适应于不同类型的测量,孔板300可以包括围绕圆盘形成的一定数目的孔图案,所述圆盘旋转以使期望的图案处于适当位置。替代地或另外,可以设置和调换一组板300,以实现相同效应。另外地或替代地,也可以使用可编程孔装置,诸如可变形反射镜阵列或透射空间光调制器。
典型地,目标将与它的平行于Y轴或平行于X轴延伸的周期性结构特征对准。关于目标的衍射行为,具有在平行于Y轴的方向上延伸的特征的周期性结构具有在X方向上的周期性,而具有在平行于X轴的方向上延伸的特征的周期性结构具有在Y方向上的周期性。为了测量在两个方向上的性能,通常提供两种类型的特征。虽然为了简单起见将参考线和空间,但所述周期性结构无需由线和空间形成。此外,每条线和/或线之间的空间可以是由较小的子结构形成的结构。另外,所述周期性结构可以被形成为在两个维度上同时具有周期性(例如在所述周期性结构包括支柱和/或通孔的情况下)。
图8图示了典型的目标T的平面图,和图7的设备中的照射斑S的范围。为了获得没有来自周围结构的干涉的衍射光谱,在实施例中,所述目标T是大于照射斑S的宽度(例如,直径)的周期性结构(例如,光栅)。斑S的宽度可以小于所述目标的宽度和长度。换句话说,目标由照射“欠填充”,并且衍射信号基本上不含来自目标自身之外的产品特征等等的任何信号。这简化了所述目标的数学重构,这是因为可以将目标视为无限的。
图9示意性地描绘了基于使用量测所获得的测量数据而进行目标图案30’的一个或更多个所关注的变量的值的确定的示例过程。由所述检测器190检测的辐射提供用于目标30’的所测量的辐射分布108。
针对给定目标30’,可以使用例如数值麦克斯韦求解器210而从参数化数学模型206计算/模拟辐射分布208。所述参数化数学模型206示出构成所述目标和与所述目标相关联的各种材料的多个示例层。所述参数化数学模型206可以包括用于处于考虑中的所述目标的部分的特征和层的一个或更多个变量,所述变量可以变化并且被导出。如图9中所示出的,所述变量中的一个或更多个变量可以包括一个或更多个层的厚度t、一个或更多个特征的宽度w(例如,CD)、一个或更多个特征的高度h、一个或更多个特征的侧壁角α,和/或特征之间的相对位置(本文中被认为是重叠)。尽管未示出,但这些变量中的一个或更多个变量还可以包括但不限于:这些层中的一个或更多个层的折射率(例如,真折射率或复折射率、折射率张量,等等)、一个或更多个层的消光系数、一个或更多个层的吸收率、在显影期间的抗蚀剂损失、一个或更多个特征的基脚(footing),和/或一个或更多个特征的线边缘粗糙度。根据图案化过程和/或其它测量过程的知识,可以将1-D周期性结构或2-D周期性结构的一个或更多个参数的一个或更多个值(诸如宽度、长度、形状或3-D轮廓特性的值)输入至重构过程。例如,变量的初始值可以是针对正被测量的目标的一个或更多个参数的那些预期值,诸如CD、间距等的值。
在一些情况下,可以将目标划分成单位单元的多个实例。在该情况下,为了有助于容易地计算出目标的辐射分布,可以将模型206设计为使用所述目标的结构的单位单元进行计算/模拟,其中重复所述单位单元作为跨越完整目标的实例。因此,所述模型206可以使用一个单位单元进行计算且复制结果以使用适当的边界条件拟合整个目标,以便确定所述目标的所述辐射分布。
除了在重构时计算所述辐射分布208以外、或替代在重构时计算辐射分布208,也可以针对处于考虑中的目标部分的变量的多个变化来预计算多个辐射分布208以创建辐射分布的库以供在重构时使用。
接着在212处比较所测量的辐射分布108与所计算的辐射分布208(例如,接近该时间来计算出,或从库获得)以确定所测量的辐射分布108与所计算的辐射分布208两者之间的差。如果存在差,则可以使所述参数化数学模型206的变量中的一个或更多个变量的值发生变化,获得新的所计算的辐射分布208(例如,计算出,或从库获得)且将其与所测量的辐射分布108进行比较直至在所测量的辐射分布108与所述辐射分布208之间存在充分的匹配为止。这时,所述参数化数学模型206的变量的值提供实际目标30’的几何形状的良好或最佳匹配。在实施例中,当所测量的辐射分布108与所计算的辐射分布208之间的差在容许阈值内时存在充分的匹配。
在这些量测设备中,可以在测量操作期间提供衬底支撑件以保持所述衬底W。所述衬底支撑件可以在形式上与图1的衬底台WT相似或相同。在所述量测设备与所述光刻设备集成的示例中,衬底支撑件甚至可以是同一衬底台。可以设置粗定位装置和精定位装置以相对于测量光学系统准确地定位所述衬底。设置各种传感器和致动器例如用以获取所关注目标的位置,并且将所关注目标带入至物镜下方的位置中。通常将对跨越所述衬底W的不同部位处的目标实例进行许多测量。可以在X和Y方向上移动所述衬底支撑件以获取不同的目标实例,并且可以在Z方向上移动所述衬底支撑件以获得相对于所述光学系统的焦点而言的所述目标实例的期望部位。例如,当在实践中所述光学系统可以保持大体上静止(通常在X和Y方向上,但可能也在Z方向上)且仅所述衬底移动时,方便地将操作考虑并且描述为如同所述物镜被带入至相对于所述衬底的不同部位。假设所述衬底和所述光学系统的相对位置正确,则原则上无关紧要的是:衬底与光学系统中的哪个在现实中移动,或该两者都移动,或光学系统的一部分的组合移动(例如,在Z方向和/或倾斜方向上)而光学系统的其余部分静止且所述衬底移动(例如,在X和Y方向上,并且可选地也在Z方向和/或倾斜方向上)。
在实施例中,目标的测量准确度和/或灵敏度可以相对于提供至所述目标上的辐射束的一个或更多个性质而变化,所述性质例如,辐射束的波长、辐射束的偏振、辐射束的强度分布(即,角强度分布或空间强度分布)等。因而,可以选择期望地获得例如所述目标的良好测量准确度和/或灵敏度的特定测量策略。
为了监控包括至少一个图案转印步骤(例如,光学光刻步骤)的图案化过程(例如,器件制造过程),检查了经图案化的衬底且测量/确定了经图案化的衬底的一个或更多个参数。例如,所述一个或更多个参数可以包括:形成在经图案化的衬底中或衬底上的连续层之间的重叠、例如形成在经图案化的衬底中或衬底上的特征的临界尺寸(CD)(例如,临界线宽)、光学光刻步骤的聚焦或聚焦误差、光学光刻步骤的剂量或剂量误差、光学光刻步骤的光学像差、放置误差(例如,边缘放置误差)等。可以对产品衬底自身的目标和/或对设置在所述衬底上的专用量测目标执行这种测量。可以在抗蚀剂显影后但在蚀刻前执行所述测量,或可以在蚀刻后执行所述测量。
在实施例中,从测量过程获得的参数是根据直接从测量过程确定的参数导出的参数。作为示例,从测量参数获得的所导出的参数是用于所述图案化过程的边缘放置误差。所述边缘放置误差提供由所述图案化过程所创建的结构的边缘的部位的变化。在实施例中,根据重叠值导出所述边缘放置误差。在实施例中,根据重叠值与CD值的组合而导出所述边缘放置误差。在实施例中,根据重叠值、CD值以及与局部变化(例如,单独的结构的边缘粗糙度、形状不对称性,等等)对应的值的组合而导出所述边缘放置。在实施例中,边缘放置误差包括组合后的重叠误差和CD误差的极值(例如,3倍标准差,即,3σ)。在实施例中,在涉及创建结构、以及涉及通过经过由所述图案化过程关于所述结构而提供的对于图案的蚀刻来移除结构的一部分来“切割”结构的多重图案化过程中,所述边缘放置误差具有以下形式(或包括以下项中的一项或更多项):其中σ是标准差;σoverlay对应于重叠的标准差;σCDU structures对应于在所述图案化过程中所创建的结构的临界尺寸均一性(CDU)的标准差;σCDU cuts对应于在所述图案化过程中所创建的切口(如果存在)的临界尺寸均一性(CDU)的标准差;σOPE,PBA对应于光学邻近效应(OPE)和/或近接偏置平均值(PBA)的标准差,其为在间距下的CD与参考CD之间的差;并且σLER,LPE对应于线边缘粗糙度(LER)和/或局部放置误差(LPE)的标准差。虽然以上的公式涉及标准差,但其能够以不同的可比较的统计方式(诸如方差)来公式化。
存在用于对在所述图案化过程中所形成的结构进行测量的各种技术,包括使用扫描电子显微镜、基于图像的测量工具和/或各种专用工具。如上文所论述的,专用量测工具的快速且非侵入性形式是其中辐射束被引导至所述衬底的表面上的所述目标上且测量散射(衍射/反射)束的属性的量测工具。通过评估由所述衬底散射的辐射的一个或更多个属性,可以确定所述衬底的一个或更多个属性。这可以被称为基于衍射的量测。这种基于衍射的量测的一个这样的应用是在目标内的特征不对称性的测量中。这可以用作例如重叠的量度,但其它应用也是已知的。例如,可以通过比较所述衍射光谱的相反部分(例如,比较周期性光栅的所述衍射光谱中的-1阶与+1阶)来测量不对称性。这种测量可以如以上所描述的那样来完成,并且正如例如全文以引用方式并入本文中的美国专利申请公开US2006-066855中所描述的那样来完成。基于衍射的量测的另一应用是在目标内的特征宽度(CD)的测量中。这些技术可以使用上文关于图6至图9描述的设备和方法。
现在,虽然这些技术有效,但期望提供导出目标内的特征不对称性(诸如重叠、CD不对称性、侧壁角不对称性等)的新测量技术。这种技术可以有效地用于被专门设计的量测目标或可能较显著地用于直接确定器件图案上的特征不对称性。
参见图10,在重叠实施例的情境下描述这种测量技术的原理。在图10A中,示出目标T的几何对称的单位单元。所述目标T可以仅包括单位单元的单个实体实例,或可以包括单位单元的多个实体实例,如图10C中所示出的。
所述目标T可以是专门设计的目标。在实施例中,所述目标用于划道。在实施例中,目标可以是管芯内目标,即,所述目标位于器件图案之中(并且因而位于划道之间)。在实施例中,所述目标可以具有与器件图案特征相当的特征宽度或间距。例如,目标特征宽度或间距可以小于或等于所述器件图案的最小特征大小或间距的300%、小于或等于器件图案的最小特征大小或间距的200%、小于或等于器件图案的最小特征大小或间距的150%,或小于或等于器件图案的最小特征大小或间距的100%。
所述目标T可以是器件结构。例如,目标T可以是存储器装置的一部分(其常常具有几何学上对称的、或可以是几何学上对称的一个或更多个结构,如以下进一步论述的)。
在实施例中,所述目标T,或所述单位单元的实体实例可以具有小于或等于2400平方微米的面积、小于或等于2000平方微米的面积、小于或等于1500平方微米的面积、小于或等于1000平方微米的面积、小于或等于400平方微米的面积、小于或等于200平方微米的面积、小于或等于100平方微米的面积、小于或等于50平方微米的面积、小于或等于25平方微米的面积、小于或等于10平方微米的面积、小于或等于5平方微米的面积、小于或等于1平方微米的面积、小于或等于0.5平方微米的面积,或小于或等于0.1平方微米的面积。在实施例中,所述目标T,或单位单元的实体实例具有平行于衬底的平面的小于或等于50微米、小于或等于30微米、小于或等于20微米、小于或等于15微米、小于或等于10微米、小于或等于5微米、小于或等于3微米、小于或等于1微米、小于或等于0.5微米、小于或等于0.2微米、或小于或等于0.1微米的横截面尺寸。在单位单元的情况下,面积和/或尺寸可以是这些范围的下限的0.75至0.05倍。
在实施例中,所述目标T或单位单元的实体实例具有小于或等于小于或等于5微米、小于或等于2微米、小于或等于1微米、小于或等于500nm、小于或等于400nm、小于或等于300nm、小于或等于200nm、小于或等于150nm、小于或等于100nm、小于或等于75nm、小于或等于50nm、小于或等于32nm、小于或等于22nm、小于或等于16nm、小于或等于10nm、小于或等于7nm或小于或等于5nm的结构的间距。
在实施例中,所述目标T具有所述单位单元的多个实体实例。因而,目标T通常地可以具有这里所列出的较大尺寸,而所述单位单元的实体实例将具有这里所列出的较小尺寸。在实施例中,所述目标T包括单位单元的50,000个或更多个实体实例、单位单元的25,000个或更多个实体实例、单位单元的15,000个或更多个实体实例、单位单元的10,000个或更多个实体实例、单位单元的5,000个或更多个实体实例、单位单元的1,000个或更多个实体实例、单位单元的500个或更多个实体实例、单位单元的200个或更多个实体实例、单位单元的100个或更多个实体实例、单位单元的50个或更多个实体实例,或单位单元的10个或更多个实体实例。
期望地,所述单位单元的所述实体实例、或所述单位单元的所述多个实体实例共同地填充所述量测设备的束斑。在该情况下,所测量的结果基本上仅包括来自所述单位单元的实体实例(或其多个实例)的信息。在实施例中,所述束斑具有为50微米或更小、40微米或更小、30微米或更小、20微米或更小、15微米或更小、10微米或更小、5微米或更小、2微米或更小,或1微米或更小,或500nm或更小的横截面宽度。
图10A中的单位单元包括实体地具现化(即被实例化)、或将实体地具现化(即被实例化)于所述衬底上的至少两个结构。第一结构1000包括线且第二结构1005包括椭圆型形状。当然,第一结构1000和第二结构1005可以是与所描绘结构不同的结构。
此外,在此示例中,在所述第一结构1000与所述第二结构1005之间可能存在从它们的预期位置的相对移位,这是由于它们分别转印至所述衬底上从而具有重叠的误差。在此示例中,所述第一结构1000与所述第二结构1005相比位于衬底上的较高层中。因而,在实施例中,可以在图案化过程的第一执行中在较低的第一层中产生所述第二结构1005,并且可以在所述图案化过程的第二执行中在较高的第二层中而不是较低的第一层中产生所述第一结构1000。现在,没有必要使所述第一结构1000和所述第二结构1005位于不同层中。例如,在双重图案化过程(包括例如作为其部分的蚀刻过程)中,可以在同一层中产生所述第一结构1000和所述第二结构1005以形成基本上单个图案,但根据它们在所述同一层内的相对放置仍可能存在“重叠”关注点。在这种单层示例中,所述第一结构1000和所述第二结构1005两者可以具有例如类似于针对第一结构1000的图10A中所示出的线的形式,但已经由第一图案转印过程而提供在所述衬底上的所述第二结构1005的线可以与在第二图案转印过程中所提供的结构1000的线交错。
显著地,所述单位单元具有、或能够具有关于轴线或点的几何对称性。例如,图10A中的所述单位单元具有关于例如轴线1010的反射对称性和关于例如点1015的点/旋转对称性。类似地,可以看到,图10C中的所述单位单元的实体实例(并且因而,所述单位单元的实体实例的组合)具有几何对称性。
在实施例中,所述单位单元具有针对某一特征(诸如重叠)的几何对称性。本文中的实施例聚焦于当所述单位单元为几何对称时具有零重叠的所述单位单元。然而,作为替代,单位单元可以具有针对某一几何不对称性的零重叠。将会接着使用适当偏移和计算以考虑当单位单元具有某一几何不对称性时具有零重叠的所述单位单元。相关地,所述单位单元应当能够取决于某一特征值来在对称性上发生改变(例如,变成不对称性,或变得进一步不对称,或从不对称情形变得对称)。
在图10A的示例中,所述单位单元具有针对零重叠的几何对称性(但无需为零重叠)。这由箭头1020和1025表示,其示出所述第一结构1000的线相对于所述第二结构1005的椭圆型形状是均匀地对准的(并且所述均匀对准至少部分地使所述单位单元能够具有几何对称性,如图10A中所示出的)。因此,在这个示例中,当所述单位单元具有几何对称性时,存在零重叠。然而,当存在重叠的误差(例如,非零重叠)时,所述单位单元不再是几何对称的且按照定义,所述目标不再是几何对称的。
此外,在目标包括所述单元的多个实体实例的情况下,所述单位单元的所述实例被周期性地布置。在实施例中,所述单位单元的所述实例被布置成晶格。在实施例中,所述周期性布置具有在所述目标内的几何对称性。
因此,在这种技术中,如下文进一步所论述的,利用了与所关注的特征不对称性(例如,非零重叠)相关的几何对称性的改变(例如,几何不对称性的改变,或进一步的几何不对称性的改变,或从几何不对称性至几何对称性的改变)以能够确定所述特征不对称性(例如,非零重叠)。
可以通过使用例如图7的所述量测设备利用辐射来照射包括图10A的所述单位单元的实体实例的目标。可以例如由检测器190来测量由所述目标重新引导的辐射。在实施例中,测量了被重新引导的辐射的光瞳,即,傅立叶变换平面。这种光瞳的示例测量被描绘为光瞳图像1030。虽然所述光瞳图像1030具有菱形类形状,但其无需具有这种形状。本文中的术语光瞳和光瞳平面包括其任何共轭物,除非情境另有要求(例如,在特定光学系统的光瞳平面正在被识别的情况下)。所述光瞳图像1030实际上是根据被重新引导的辐射的光瞳的光学特性(在这种情况下是强度)而指定的图像。
出于方便起见,本文中的论述将聚焦于作为所关注的光学特性的强度。但本文中的技术可以用于一个或更多个替代的或额外的光学特性(诸如相位和/或反射率)。
此外,出于方便起见,本文中的论述聚焦于检测和处理被重新引导的辐射的图像(并且特别是光瞳图像)。然而,可以用与图像相比不同的方式测量和表示被重新引导的辐射的光学属性。例如,可以根据一个或更多个光谱(例如,作为波长的函数的强度)处理被重新引导的辐射。因而,被重新引导的辐射的所检测的图像可以被认为是被重新引导的辐射的光学表示的示例。因此,在光瞳平面图像的情况下,光瞳图像是光瞳表示的示例。
此外,被重新引导的辐射可以是偏振的或非偏振的。在实施例中,测量束辐射是偏振辐射。在实施例中,所述测量束辐射被线性地偏振。
在实施例中,光瞳表示主要或大体上具有来自所述目标的被重新引导的辐射的一个衍射阶。例如,所述辐射可以是辐射的特定阶的50%或更多、70%或更多、80%或更多、85%或更多、90%或更多、95%或更多、98%或更多,或99%或更多。在实施例中,所述光瞳表示主要或大体上具有零阶被重新引导的辐射。这可能例如当所述目标的间距、所述测量辐射的波长、以及可选地一个或更多个其它条件导致所述目标主要地重新引导零阶时出现(尽管可能存在一个或更多个高阶的辐射)。在实施例中,大部分光瞳表示是零阶被重新引导的辐射。在实施例中,所述光瞳表示具有零阶辐射且分别具有一阶辐射,其可以接着被线性地组合(叠加)。图7中的孔186可以用于选择辐射的特定阶,例如零阶。
关于与所述第一结构1000和所述第二结构1005的几何对称的单位单元对应的光瞳图像1030,可以看到,所述光瞳图像内的强度分布是基本上对称的(例如,具有与所述几何结构所属的类型相同的对称性类型)。这通过从所述光瞳图像1030移除所述对称强度分布部分(这引起所导出光瞳图像1035)来进一步确认。为了移除所述对称强度分布部分,特定光瞳图像像素(例如,一像素)可以通过从所述特定光瞳图像像素处的强度减去被对称地定位的光瞳图像像素的强度而使对称强度分布部分被移除,并且反之亦然。在实施例中,所述像素可以对应于所述检测器(例如检测器190)的像素,但其无需如此;例如,光瞳图像像素可以是检测器的多个像素。在实施例中,像素强度被减去所跨越的对称点或对称轴对应于所述单位单元的对称点或对称轴。因此,例如,在考虑光瞳图像1030的情况下,所述对称强度分布部分可以通过例如从所示出的所述特定像素处的强度Ii减去来自被对称地定位(即,相对于轴线1032被对称地定位)的像素的强度Ii’而被移除。因而,在对称强度部分被移除的情况下在特定像素处的强度Si则为Si=Ii-Ii’。可以针对所述光瞳图像的多个像素(例如,所述光瞳图像中的所有像素)重复这种过程。如在所导出光瞳图像1035中所看到的,与对称单位单元对应的所述强度分布基本上完全对称。因而,具有对称单位单元几何形状(并且如果适用,具有所述单位单元的实例的某一周期性)的对称目标导致如由量测设备测量时的对称光瞳响应。
现在参考图10B,关于图10A中所描绘的所述单位单元来描绘重叠的误差的示例。在此情况下,所述第一结构1000相对于所述第二结构1005在X方向上移位。特别地,在所述第一结构1000的线上居中的轴线1010在图10B中向右移位至轴线1045。因而,存在着在X方向上的重叠1040的误差;即,X方向重叠误差。当然,所述第二结构1005可以相对于所述第一结构1000移位,或所述第二结构1005与所述第一结构1000两者可以相对于彼此移位。在任何情况下,结果是X方向重叠误差。然而,如根据这种单位单元布置应了解的,所述第一结构1000与所述第二结构1005之间的在Y方向上的仅相对移位将不会改变这种单位单元的几何对称性。但在利用适当的几何布置的情况下,在两个方向上或在所述单位单元的多个部分的不同组合之间的重叠可能改变对称性并且也可以被确定,如下文进一步所论述的。
由于所述单位单元的实体配置从图10A中的所述单位单元的名义实体配置改变且所述改变由重叠1040的误差表示,则结果是所述单位单元已变得非几何对称。这可以由具有不同长度的箭头1050和1055看到,其示出所述第二结构1005的椭圆型形状相对于所述第一结构1000的线不均匀地定位。检查了相对于所述光瞳图像1030的对称点或对称轴的对称性,即,在该情况下,轴线1032现在被示出为轴线1034。
可以使用例如图7的所述量测设备利用辐射来照射图10B的所述单位单元的实体实例。可以例如由检测器190记录被重新引导的辐射的光瞳图像。这种光瞳图像的示例被描绘为光瞳图像1060。所述光瞳图像1060实际上为强度的图像。虽然所述光瞳图像1060具有菱形类形状,但其无需具有这种形状;其可以是圆形形状或任何其它形状。此外,所述光瞳图像1060具有与光瞳图像1030大体上相同的轴线或坐标部位。即,在这种实施例中,图10A的单位单元中的对称轴1010和图10B的单位单元中的相同的轴线与光瞳图像1030、1060的对称轴1032对准。
关于与所述第一结构1000和所述第二结构1005的非几何对称的单位单元对应的光瞳图像1060,在视觉上看起来是:所述光瞳图像内的强度分布基本上对称。然而,在所述光瞳图像内存在不对称强度分布部分。这种不对称强度分布部分是由于所述单位单元中的不对称性。而且,所述不对称强度分布在量值方面显著低于所述光瞳图像中的对称强度分布部分的量值。
因此,在实施例中,为了更有效地隔离所述不对称强度分布部分,可以从所述光瞳图像1060移除所述对称强度分布部分,这导致所导出光瞳图像1065。与获得所导出光瞳图像1035的情况类似,特定光瞳图像像素(例如,一像素)可以通过从所述特定光瞳图像像素处的强度减去被对称地定位的光瞳图像像素的强度而使所述对称强度分布部分被移除,并且反之亦然,如上文所论述的。因此,例如,在考虑光瞳图像1060的情况下,可以通过例如从所示出的所述特定像素处的强度Ii减去来自被对称地定位的像素(即,相对于轴线1032对称地定位)的强度Ii’以产生Si,来移除所述对称强度分布部分。可以针对所述光瞳图像的多个像素(例如,所述光瞳图像中的所有像素)重复这种过程。在图10A和图10B中,出于解释的目的而描绘了Si的被完全导出光瞳图像。如应了解的,图10A或图10B的所导出光瞳图像的一半与其另一半相同。因此,在实施例中,来自所述光瞳图像的仅一半的值可以用于本文中所论述的进一步处理,且因此,用于本文中的进一步处理中的所导出的图像光瞳可以是用于光瞳的Si值的仅一半。
如在所导出光瞳图像1065中看到的,使用不对称的单位单元的实体实例来测量的强度分布是不对称的。如在区1075和1080中所见的,一旦移除所述对称强度分布部分,则能够看到不对称强度分布部分。如上文提及的,示出了被完全导出光瞳图像1065,且因此,在两个半部上示出所述不对称强度分布部分(即便它们在它们各自半部中的量值和分布方面是彼此相等的)。
因而,几何域中的不对称性对应于所述光瞳中的不对称性。因此,在实施例中,提供一种使用周期性目标的光学响应的方法,所述周期性目标拥有或能够具有在其单位单元的实体实例中的固有几何对称性以确定与实体配置改变相对应的参数,所述实体配置改变造成所述单位单元的实体实例的几何对称性的改变(例如,造成不对称性,或造成进一步的不对称性,或导致不对称单位单元变得对称)。特别地,在实施例中,如由量测设备测量的光瞳中的重叠引发的不对称性(或缺少重叠引发的不对称性)可以用于确定所述重叠。即,光瞳不对称性用来测量所述单位单元的所述实体实例内并且(因而)目标内的重叠。
为了考虑如何确定与造成单位单元中的几何不对称性的实体配置改变相对应的参数,可以根据对所述光瞳图像中的像素造成影响的所述目标的实体特性来考虑所述像素的强度。为了进行这种操作,将考虑重叠示例,但可以将技术和原理扩展至与造成单位单元中的几何不对称性(例如,不对称侧壁角、不对称底部壁倾角、接触孔中的椭圆率,等等)的实体配置改变相对应的另一参数。
返回参见图10A和图10B的所述单位单元,可以用分析方式将光瞳图像1060中的像素Ii、I′i的强度评估为可归因于所述单位单元的不同实体特性的强度分量的组合。特别地,可以评估从对称单位单元至不对称单位单元的实体配置改变以确定强度分布以何种方式改变且具体地在光瞳图像内以何种方式改变。
因此,在用于图示这些原理的非常简单示例中,可以评估所述单位单元轮廓的实体配置的若干改变(但当然,可以发生更多或不同的实体配置改变)。将被考虑的多种实体配置改变中的一种实体配置改变是结构1000在Z方向上的高度的改变,其被指定为Δxh。但显著地,这种高度改变将通常跨越所述单位单元的实体实例是均一的。即,Δxh将导致所述单位单元在对称轴或对称点的一侧处与在对称轴或对称点的另一侧处相同改变的实体配置。类似地,诸如CD改变、侧壁角改变等等的其它实体配置改变也将跨越单位单元的实体实例是大体上均一的,并且因而产生所述单位单元在对称轴或对称点的一侧处与在对称轴或对称点的另一侧处相同改变的实体配置。因此,为方便起见,将仅考虑Δxh,但其表示跨越所述单位单元是均一的多种其它实体配置改变。
所关注的单位单元的多种实体配置改变中的另一实体配置改变是结构1000与结构1005之间的相对移位,即,重叠1040的改变。这种重叠移位将被称作Δxov。当然,所述重叠可以被认为在不同的方向或另外的方向上。显著地,Δxov将导致所述单位单元在对称轴或对称点的一侧处与在对称轴或对称点的另一侧处不同的实体配置;每对对称像素具有关于重叠的信息。显著地,虽然大多数目标轮廓参数(CD、高度等等)的改变会引发所述光瞳的对称改变(并且因而可以被认为是对称参数),但重叠的改变会引起所测量的光瞳的不对称改变。因而,重叠的改变给出不对称光瞳响应。另外,大多数(如果不是全部)其它单位单元轮廓参数不产生所述单位单元或所述光瞳响应的不对称性。然而,它们可能对所测量的重叠值有影响。如下文所论述的,对于一阶,其它单位单元轮廓参数可能没有影响。在实施例中,对于二阶或更高阶,其它单位单元轮廓参数对重叠值的确定有影响。因此,如下文更详细地论述的,通过测量光瞳不对称性,可以从其确定重叠。
具体地,为了评估可以如何从所测量的光瞳不对称性确定重叠,可以将光瞳图像1060中像素i的强度Ii定义为:
其中I0是可归因于照射辐射的基础强度且a、e、f和g是系数。因此,类似地,可以将光瞳图像1060中的互补对称像素的强度I′i定义为:
其中系数a’、b’、c’、d’、e’、和f’对于互补对称像素的强度I′i是特定的,并且与针对光瞳图像1060中的像素的强度Ii的系数a、b、c、d、e和f相关。
可以接着将光瞳图像1060中的对称像素之间的强度差Si=Ii-I′i评估为:
已发现,由于例如对称性,则可能仅包含对称参数的所有项(诸如eΔxh)被消除,如方程式(3)中所见的。此外,由于例如对称性,已发现具有重叠的偶数幂的项针对被对称地定位的像素是相等的,且因此,诸如之类的项同样消除。这留下具有重叠与对称参数的组合的项、以及仅具有对于奇数幂(例如,对于1、3、5、7等等的幂)的重叠的项。
在上述方程式(3)中,已发现强度差Si主要取决于aΔxov。即,强度差Si在很大程度上线性地取决于重叠,或更显著地,重叠在很大程度上线性地取决于强度,具体地取决于强度差Si。因而,像素的强度的组合可以在与适当的转换因子线性组合时产生重叠的良好估计值。
因此,在实施例中,已发现,可以从被适当加权的像素的强度的组合来确定重叠(其中对自身加权用作强度至重叠的转换因子,或可以与从强度至重叠的转换因子组合)。在实施例中,可以将重叠信号描述为:
M=∑iwiSi (4)
其中重叠信号M是所测量的光瞳中的信号分量Si的加权组合,并且wi是针对信号分量Si中的每个信号分量的相应的权重(并且所述权重用作信号分量与重叠之间的转换因子;如上文提及的,作为替代,转换因子可以与不用于将信号分量转换成重叠的权重相组合而使用)。在实施例中,权重wi是一向量,其量值与重叠相关。如上文提及的,可以针对所测量的光瞳的一半来确定信号分量Si。在实施例中,如果对称像素(N个对称像素)的信号分量Si针对所有对(N/2对)具有大体上相同的量值,则可以根据以下公式对信号分量Si进行平均化并且与从信号分量Si的总数至重叠的转换因子C相组合以产生总重叠:因此,在实施例中,权重可以具有两个作用:一个作用是作为每对像素关于其重叠测量的信赖度(trust),并且另一作用是将信号分量的光学特性(例如,强度的程度,例如灰阶)的值转换成重叠值(例如以纳米为单位)。如上文所论述的,第二作用可以被交由转换因子负责。
但在例如针对于所有成对的对称像素的的信号分量Si不具有大体上相同量值的情况下,将在所测量的光瞳中的所有像素进行加权同样可能引起低信噪比(较差精度)。因此,期望的是:将对于重叠敏感的那些像素进行加权,以对重叠的计算有较大贡献。因此,在实施例中,对重叠敏感的像素得到与具有对于重叠的低灵敏度的那些像素(实际上不活跃的像素)不同的(例如,更高的)权重。如上文提及的,所导出光瞳1065的区1075和1080中的像素具有对于重叠的相对较高的灵敏度,而所导出光瞳1065中的其余像素(其相对于区1075和1080中的像素,具有低强度至不具有强度)具有对于重叠的低灵敏度(并且因此应被加权以对重叠确定有较低贡献)。因此,在实施例中,可以产生用于增大或最大化信噪比(例如为了更好的精度)的加权方案。在实施例中,可以产生用以增大或最大化叠层灵敏度(例如提供对于系统误差的较好公差)的加权方案。
在实施例中,针对方程式(3)的aΔxov项有效地确定权重。在实施例中,权重可以被扩展以针对aΔxov项以及bΔxovΔxh(并且典型地针对诸如CD、侧壁角等等其它参数的其它可比的项)来确定。然而,这种计算与仅针对方程式(3)的aΔxov项有效地确定权重相比可能更复杂。此外,在对非线性过程的鲁棒性即稳固性(针对对称参数)与确定重叠的精度(即,关于针对同一实际重叠的每次确定的所确定值的密切程度)之间存在折衷。因此,使用这种计算,为了增强的稳固性而可能牺牲精度。因此,可以执行优化以增强精度(例如,最大化了线性项的影响且抑制非线性项)、增强稳固性(例如,最大化非线性项)或找出精度与稳固性两者的平衡。但在任何情况下,使用与关联的加权线性地组合的强度的组合可能导致快速确定重叠,这是因为其仅需要光瞳采集和方程式(4)的简单计算。
在较高阶项变得重要的实施例中,可以采用非线性解技术以对具有和/或其它较高阶项的方程式(3)进行求解。如应了解的,与简单地使在所测量的光瞳中的每个信号分量Si乘以用于每个信号分量Si的相应权重wi且接着将它们全部加在一起相比,非线性解技术可能更复杂。此外,在对非线性过程的稳固性与确定重叠的精度(即,关于针对同一实际重叠的每次确定的所确定值的密切程度)之间再次存在折衷。因此,使用这种计算,为了增强的稳固性而可能牺牲精度。因此,可以执行优化以增强精度和/或增强稳固性。
因此,在认识到存在由重叠造成的单位单元的几何不对称性导致的不对称强度分布的情况下,可以经过聚焦于这种不对称强度分布的分析来确定重叠的误差。因而,现在将论述用于从由于与重叠相关联的目标的实体配置改变而出现的所述不对称强度分布来确定重叠的技术。
参考图11,示意性地描绘了确定权重的方法。为了能够实现权重确定,使用上文关于图9而描述的重构技术将会是有利的。即,在实施例中,使用CD重构以使重叠信号与不对称单位单元的实体实例的光瞳图像隔离。
图11的方法涉及两个过程。第一过程1100涉及将重构技术作为图案化过程的部分用于目标的CD和/或一个或更多个其它轮廓参数以导出被曝光到衬底上的所述目标的名义轮廓(并且因而,导出所述目标中的单位单元的一个或更多个实体实例的名义轮廓)。在具有所述目标的名义轮廓的情况下,在过程1110中使用所述重构技术的基本引擎以导出加权。加权可以接着用于从所测量的光瞳导出重叠,如关于图12进一步描述的。
因此,在过程1100处,获得了对衬底(在所述衬底上设置有作为目标实例的所关注的单位单元的一个或更多个实体实例)的测量1130。在实施例中,测量是针对蚀刻后的目标实例。在实施例中,测量是针对在显影后但在蚀刻前的目标实例。在实施例中,目标实例是器件结构。在实施例中,通过使用量测设备(诸如图7的量测设备),可以进行测量或已进行了测量。例如,所述目标实例可以包括图10A或图10B的所述单位单元的实体实例,例如单个实例,或如图10C中所示出的目标的多个相邻实例。在实施例中,获得多个目标实例(并且因而获得所述单位单元的多个实体实例)的测量。在实施例中,测量是针对跨越整个衬底而分布的目标实例。在实施例中,测量了多个衬底,每个衬底具有一个或更多个目标实例(每个衬底具有所述单位单元的一个或更多个实体实例)。因此,在实施例中,针对每个所测量的目标实例获得辐射分布108。
接着,使用在1100处的重构过程(诸如在图9中和关于图9描述的重构过程)以导出所述单位单元的实体实例的名义轮廓,所述名义轮廓与图9的轮廓206相当。所述重构过程获得所述单位单元的实体实例的预期轮廓1120,以开始和促成所述重构过程。在实施例中,从跨越一个或更多个衬底的目标实例的轮廓的平均值获得了所导出的名义轮廓。例如,针对每个目标的辐射分布108可以被处理以导出所述目标的所述实例的特定轮廓,并且接着可以将针对所述目标的多个实例的轮廓一起平均化以导出所述名义轮廓。在实施例中,所述名义轮廓包括所述目标的至少一个几何轮廓。在实施例中,所述几何轮廓是3-D轮廓。在实施例中,所述名义轮廓包括关于构成所述实体目标的一个或更多个层的一种或更多种材料属性的信息。
因此,在实施例中,所述名义轮廓可以被认为是针对从对跨越整个衬底和可选地在多于一个衬底上的所述目标的许多实例进行测量而获得的所述目标(并且因而所述单位单元)的轮廓的各种参数的值的重心。但在实施例中,所述名义轮廓可以具有不同的形式且是更特定的。例如,可以针对目标的一个或更多个特定实例(例如通过使用来自多个衬底的相同目标部位的值)来限定所述名义轮廓。作为另一示例,可以针对特定衬底(例如,通过使用仅来自该衬底的值)来限定所述名义轮廓。在实施例中,作为图12的过程的部分,可以针对特定目标和/或衬底来调谐所述名义轮廓。例如,当作为图12的过程的部分来测量所述目标和/或衬底时,可以将重构技术与所测量的数据一起使用以微调针对所述目标和/或衬底的所述名义轮廓,经微调的名义轮廓可以接着用作本文中的名义轮廓以确定权重,并且所述权重可以接着与相同的所测量的数据一起使用,以产生一个或更多个重叠值。
接着将经重构的名义轮廓1140提供至过程1110。因而,在实施例中,过程1110使用所述目标的所导出的名义轮廓,例如从所测量的数据导出的器件的所述单位单元的蚀刻后的几何轮廓。在实施例中,所述名义轮廓可以呈参数化模型的形式,比如根据所测量的单位单元而参数化的模型206。因而,在实施例中,过程1110使用所述单位单元的所导出轮廓模型,例如,从所测量的数据导出的器件的单位单元的实体实例的蚀刻后的几何轮廓的模型。
在过程1110中使用了本文中所描述的重构技术的基本引擎,连同所导出轮廓或所导出轮廓模型,以导出加权。在实施例中,所导出轮廓模型、或从所导出轮廓导出的所导出轮廓模型被用来确定对所述单位单元中的重叠敏感的光瞳像素。特别地,在实施例中,通过使用模拟(例如麦克斯韦求解器)确定了对光瞳响应的重叠的灵敏度,以确定对于针对所述名义轮廓而引发的重叠改变的光瞳响应的改变。
这可以通过导致所导出轮廓模型发生改变使得在模型中引发某一量的重叠改变(例如1nm),从而使所导出轮廓模型的所有其它参数/变量不变来实现。这实际上使对称单位单元变得不对称,或使已经不对称的单位单元改变对称性(包括变得进一步不对称或从不对称情形变得对称)。
可以接着基于在具有引发的重叠改变的情况下所导出轮廓模型来导出(例如,使用麦克斯韦求解器、库搜索或其它重构技术)如在量测设备中将会预期的光瞳(例如,针对处于某一测量束波长、测量束偏振、测量束强度等等的辐射)。在所述单位单元的所述实体实例小于束斑的情况下,重构可以将束斑作为由单位单元的实体实例填充来处理。在实施例中,所导出光瞳可以是模拟的光瞳图像1060和/或基于所述模拟的光瞳图像的所导出光瞳图像1065。
所导出光瞳可以接着用于确定在多个光瞳像素中的强度对重叠改变的灵敏度,例如通过与在不具有引发的重叠的情况下针对所述单位单元的所导出光瞳(例如,在不具有引发的重叠的情况下针对所述单位单元的所导出光瞳可以是模拟的光瞳图像1030和/或基于所述模拟的光瞳图像的导出光瞳图像1035)进行比较来进行。在实施例中,这些灵敏度形成了加权的基础。
在实施例中,可以将所述光瞳的像素(并且因而所述像素强度、信号分量Si等等)表达为向量。在实施例中,可以接着从建模中所产生的雅可比(Jacobian)矩阵导出所述加权。在实施例中,可以从建模中所产生的雅可比矩阵的摩尔-彭若斯(Moore-Penrose)伪逆导出所述加权。因此,针对方程式(3)的aΔxov项,有效地确定了权重。从雅可比矩阵或雅可比矩阵的摩尔-彭若斯伪逆导出的加权看起来良好地适用于相对适当的重叠变化(例如,在±3nm内或在±4nm内或在±5nm内)。
在实施例中,所述权重可以被扩展以针对aΔxov项以及bΔxovΔxh(和典型地针对诸如CD、侧壁角等等的其它参数的其它可比的项)来确定。在这种情况下,所述加权是建模中所产生的除了雅可比矩阵以外的海森(Hessian)矩阵,或可以从建模中所产生的除了雅可比矩阵以外的海森(Hessian)矩阵导出。所述海森矩阵示出对于由于另一(对称)参数(诸如CD)的某一量的改变而引起的重叠改变如何响应。因此,针对每个这样的参数,在海森矩阵中存在列。在实施例中,为了(更)稳固,可以变更所述权重使得它们变得更大程度上正交于使所述单位单元敏感的列(参数)。为了变得更大程度上正交,可以将一个或更多个敏感列级联即串接至雅可比矩阵,并且接着可以从这种雅可比矩阵(其中一个或更多个列来自串接至其的海森矩阵)计算出摩尔-彭若斯伪逆。权重遵循这种计算。然而,这种计算可能较复杂且因而可能适合于如下那些情形:其中实际上预期了所述重叠值超过从雅可比矩阵(的摩尔-彭若斯伪逆)导出的加权示出良好结果所针对于的重叠变化范围。
在实施例中,所述权重可以被扩展为针对方程式(3)的其它项来确定。在该情况下,所述加权是在建模中产生的除了雅可比矩阵以外的三阶导数,或可以从所述三阶导数导出。此外,不同类型的级数展开式可以用于确定重叠灵敏度和非线性(例如泰勒级数、傅立叶级数,等等)。
如上文提及的,所述名义轮廓可以是每目标或衬底的经微调的名义轮廓。例如,当作为图12的过程的部分来测量所述特定目标或衬底时,可以将重构技术与所测量的数据一起使用以微调用于该目标或衬底的所述名义轮廓。现在,取决于微调,可以(重新)确定所述权重和/或在所进行的加权的类型之间作出选择(例如,雅可比矩阵,或雅可比矩阵与海森矩阵的组合)。例如,先前可能已经选择权重(这基于没有被微调的名义轮廓)以抑制Δxh的效应,但如果微调识别和更新了针对所述目标和/或衬底的Δxh,则可能无需抑制Δxh的效应。因而,可以选择相对于稳固性更偏好精度的权重。
因此,从过程1110,可以输出权重wi的集合(例如向量)。权重wi自身可以用作强度至重叠的转换因子,或它们可以与从强度至重叠的转换因子相组合(所述转换因子可以被导出作为相同建模的部分)。如从光瞳图像1065应了解的,区1075和1080中的像素与区1075和1080外部的像素相比具有对于重叠的相对较高的灵敏度,且因而,它们的加权将明显地不同于(例如,高于)区1075和1080外部的像素的加权(所述像素具有对于重叠的相对低的灵敏度)。因此,当将所述权重与具有所述单位单元的一个或更多个实体实例的目标的所测量的强度值相组合(诸如根据方程式(4))时,可以针对特定目标(诸如具有所述单位单元的实体实例的器件图案)获得重叠信号。
此外,可以确定一个或更多个测量参数以形成用于获得所述目标的所测量的强度值的测量策略。一个或更多个测量参数可以影响像素的重叠灵敏度。例如,重叠灵敏度跨越不同测量束波长而变化。因此,在实施例中,作为建模过程1110的部分,可以使一个或更多个测量参数(诸如波长、偏振、剂量、由检测器传感器获得的所述目标的一个特定照射的一定数目的光学特性读数(所述读数典型地被平均化以提供针对所述目标的测量的平均化光学特性值))变化。例如,可以针对特定引发的重叠改变来检查一个或更多个测量参数以确定所述所述一个或更多个测量参数的值,所述值使得将例如当加权针对于所述一个或更多个参数的一个值时而获得的重叠相对于当加权针对于所述一个或更多个参数的另一值时而获得的重叠之间的误差残差减小至最小值或低于某一阈值。因此,可以接着获得改善精度的一个或更多个测量参数的值。
另外,对过程变化的稳固性跨越一个或更多个测量参数的不同值而不同。例如,特别地,对过程变化的稳固性跨越测量束波长和/或测量偏振的不同值而不同。因而,在实施例中,加权方案应至少解决对于过程变化缺乏稳固性的主要贡献因素。因此,除了为了改善精度而确定一个或更多个测量参数的值以外、或替代为了改善精度而确定一个或更多个测量参数的值,也可以针对不同的特定引发的重叠改变值(和/或针对所导出轮廓模型的一个或更多个其它参数的特定的所引发的改变,诸如CD、侧壁角等等的改变)来检查一个或更多个测量参数,以获得在使用具有对过程变化的有所增强的稳固性的加权的情况下能够实现结果的一个或更多个测量参数的值。例如,针对所引发的重叠改变的不同量,可以评估一个或更多个测量参数的各种值以确定一个或更多个测量参数的值,所述值使得在使用与所述一个或更多个测量参数的所述值相关联的加权的情况下造成被确定的重叠中的最小(或低于阈值)的变化。当然,在选择所述一个或更多个测量参数的值时,可以使用在精度与增强的稳固性两者之间的平衡。例如,可以将加权应用到针对精度来确定的所述一个或更多个测量参数的值(例如,应用于对精度进行测量的性能指标的权重)与针对增强的稳固性来确定的所述一个或更多个测量参数的值(例如,应用于对稳固性进行测量的性能指标的权重)之间,并且接着可以选择最大的、排名最高的、等等组合。并且当然,可以确定一个或更多个测量参数的多个值,使得实际上在总体测量策略中存在多个不同测量策略。可以根据一个或更多个性能指标对所述多个值进行排名。因而,可选地,可以从过程1110输出测量策略以用于获得具有所述单位单元的一个或更多个实体实例的目标的所测量的强度值。
此外,诸如CD、侧壁角等等的一个或更多个非重叠参数可能影响用于将强度信号映射至重叠的权重。如上文提及的,在这种情境下确定所述权重的一种示例方式是使用海森矩阵和/或三阶导数。因此,在实施例中,为了考虑一个或更多个非重叠参数以便仍维持良好的重叠值,各种可能的加权方案是可能的。在实施例中,为了重叠确定精度,可以优化重叠信息性重叠像素和它们的加权。这种优化可能需要良好的模型品质,即,非重叠参数的良好估计。在实施例中,为了对诸如非重叠参数之类的过程变化的有所增强的稳固性,可以优化重叠信息性像素和它们的权重。这可能以精度为代价。
在实施例中,可以使用例如关于图9所描述的重构技术来进行所述一个或更多个非重叠参数的估计,并且将所述一个或更多个非重叠参数的估计前馈以调谐所导出轮廓或所导出轮廓模型。例如,CD重构可以估计在衬底处的特定部位处的目标的CD和/或针对图案化过程设定(例如,曝光剂量、曝光聚焦等等)的特定组合来估计目标的CD,并且使用该CD估计以调谐所导出轮廓或所导出轮廓模型的CD参数。在实施例中,可以执行确切的所导出轮廓或所导出轮廓模型参数的迭代性重构。
参考图12,即一种确定针对具有能够几何对称的单位单元的一个或更多个实体实例的目标的重叠值的方法。这种方法涉及两个过程1200和1210。过程1200涉及获得具有所述单位单元的所述一个或更多个实体实例的目标的测量。过程1210涉及基于来自过程1200的所述目标的测量结果来确定针对所测量目标的重叠值。
过程1200将包括能够几何对称的如本文中所描述的单位单元的一个或更多个实体实例的待测量的目标1220视为输入。在实施例中,将具有所述目标的一个或更多个实例的衬底提供至量测设备,诸如图7的量测设备。
可选地,过程1200将被指定用于所述目标的特定测量策略1230视为输入。在实施例中,测量策略可以指定一个或更多个测量参数的值,所述测量参数诸如选自以下中的一个或更多个:测量束波长、测量束偏振、测量束剂量、和/或由所述量测设备的检测器传感器获得的所述目标的一个特定照射的一定数目光学特性读数。在实施例中,所述测量策略可以包括多个测量策略,每个测量策略指定一个或更多个测量参数的值。所述测量策略可以用于量测所述目标。
过程1200接着根据可选的测量策略使用量测设备来测量所述目标。在实施例中,所述量测设备获得被重新引导的辐射的光瞳表示。在实施例中,所述量测设备可以产生光瞳表示,诸如光瞳图像1030(如果例如所述目标不具有重叠方面的误差)或光瞳图像1060(如果例如所述目标具有重叠方面的误差)。因而,在实施例中,所述过程1200输出关于来自所述目标的被重新引导的辐射的光学信息1240,诸如所述辐射的光瞳表示。
过程1210接着接收所述光学信息1240且处理所述光学信息以确定针对所述目标的重叠值1260。在实施例中,所述过程1210接收根据图11的方法所确定的加权1250作为输入,接着将所述加权1250与从所述光学信息1240获得或导出的一个或更多个光学特性值(例如强度)相组合。
在实施例中,所述过程1210(或过程1200)可以处理所述光学信息以从所述光学信息导出原始重叠信号。在实施例中,原始重叠信号包括所述光学信息的差异,即,跨越对称轴或对称点的在对称像素之间的光学特性值的差异。在实施例中,可以获得所导出光瞳图像1035(如果例如所述目标不具有重叠方面的误差)或所导出光瞳图像1065(如果例如所述目标具有重叠方面的误差)。
在实施例中,将加权与关于由所述目标重新引导的辐射的光学信息(例如来自过程1200的光学信息,或来自过程1200的光学信息的经处理的版本,诸如原始重叠信号)进行组合以确定重叠值。在实施例中,使用与相关联的加权以线性方式组合的被重新引导的测量束强度的组合可能导致快速确定重叠。例如,在实施例中,可以使用方程式(4)导出重叠值,其中重叠值M被计算为在使用针对来自原始重叠信号的信号分量Si中的每个信号分量的相应权重wi的情况下所述信号分量Si的加权组合。
在实施例中,从过程1200收集的所述光学信息可以另外用以导出除重叠之外的一个或更多个目标相关参数。例如,从过程1200收集的所述光学信息可以用于重构过程中以导出所述目标的任一个或更多个几何轮廓参数,诸如CD、侧壁角、底板倾角等等。因此,在实施例中,从目标(诸如管芯内的蚀刻后的目标)收集的同一组光学信息可以用于确定所述目标(诸如器件结构)的重叠、CD和/或一个或更多个其它几何轮廓参数。
虽然如上文提及已聚焦于强度,但在实施例中,所述光学特性可以是反射率,辐射可以被偏振且测量可以是交叉偏振测量。例如,曝光至某一线性偏振的目标可以利用该偏振而被测量,或在不同偏振的情况下测量。因此,针对对称像素pi和p′i(其中撇号即单引号表示对称部位),则针对那些像素的反射率R可以被测量如下:
其中s表示s偏振且p表示p偏振。因此,反射率Rss对应于当使用s偏振来照射所述目标时所测量的s偏振辐射的反射率R,反射率Rsp对应于当使用p偏振来照射所述目标时所测量的s偏振辐射的反射率R,等等。此外,可以在不同波长情况下采取这些测量。并且,已发现,在某些实施例中,可以从全等项Rps和Rsp发现和确定针对响应于重叠改变而改变对称性的对称单位单元的重叠。
此外,非线性可源自重叠和/或源自其它参数。如上文所论述的,可以经过例如通过使用海森矩阵和/或三阶导数导出所述加权而进行的对所述加权的适当选择,来解决某种非线性。在实施例中,可以通过使用非线性解以从目标的被重新引导的辐射的所测量的光学信息导出所述重叠,来解决所述非线性。
在实施例中,可以通过使用如以上描述的用以导出所述名义轮廓的所述重构引擎,来确定所述重叠。例如,根据基于所导出的名义轮廓和/或所导出的名义轮廓模型进行工作的非线性求解器可以用于导出根据从所关注目标被重新引导的辐射所预期到的光学信息的模拟版本,可以将光学信息的所述模拟版本与所关注目标的所测量的光学信息相比。如上文提及的,所关注目标包括可以对称且在经受重叠时改变对称性的单位单元的一个或更多个实体实例。接着,如果在某一阈值内不存在一致,则可以使几何轮廓参数(例如重叠)变化且重新计算所述光学信息的所述模拟版本,并且将重新计算的所述光学信息的所述模拟版本与所测量的光学信息相比直至在阈值内一致为止。类似地,可以将所关注目标的所测量的光学信息与根据从所述所关注目标重新引导的辐射所预期到的光学信息的库进行比较(所述库典型地将会使用非线性求解器来导出)。接着,如果在某一阈值内不存在一致,则可以使几何轮廓参数(例如,重叠)发生变化且可以针对光学信息的模拟版本再次查阅所述库,将光学信息的所述模拟版本与所测量的光学信息进行比较直至在阈值内存在一致为止。
在实施例中,使用重构引擎以及来自所关注目标的所测量的光学信息会使用所测量的光学信息(已从所测量的光学信息中移除了辐射的对称分布),如以上所描述的,所述移除例如通过从每个像素处的光学特性值减去跨越对称点或对称轴而对称地定位的像素处的光学特性值来进行。因而,所述光学信息大体上仅关于辐射的不对称分布。类似地,所述光学信息的模拟版本或库版本大体上仅关于辐射的不对称分布。这种情形将促进计算和/或比较的速度,这是因为将无需计算或评估光学信息的相当大部分(这是由于其将经过差分化而消除)。
在非线性解的另一实施例中,可以利用非线性求解器对方程式(3)的展开式进行求解以导出Δxov。特别地,可以确定方程式(3)中的(a-a′)、(b-b′)、(c-c′)等等的值(在适用时),来作为所关注的单位单元的所导出的名义轮廓和/或所导出的名义轮廓模型的确定的部分。例如,一旦已将所导出的名义轮廓确定为非线性重构的部分,则可以获得针对与所述所导出的名义轮廓(例如,对应于针对重叠的特定改变(例如,Δxov)的所导出的名义轮廓的扰动)对应的光瞳的模拟光学信息或库光学信息,并且接着可以针对所述光瞳中的每个像素利用非线性求解器来确定a、b、c等等的值(在适用时),所述非线性求解器例如经过解而进行迭代(例如,响应于重叠的一个或更多个扰动(例如,Δxov))以便最小化残差。在适用时,结果是针对所述光瞳的a值的向量(每个a值对应于所述光瞳的一像素)、针对所述光瞳的b值的向量(每个b值对应于所述光瞳的一像素)、针对所述光瞳的c值的向量(每个a值对应于所述光瞳的一像素),等等。可以接着将这些向量与根据具有所关注的单位单元的目标的所测量的光瞳而确定的Si值的向量相组合。例如经过解而进行迭代以便将所述残差最小化的非线性求解器可以获得这些输入向量且接着针对重叠Δxov进行求解。
虽然以上的论述已聚焦于使用对所述单位单元的实体轮廓进行建模的模型,但在实施例中,可以使用无需实体轮廓建模的数据驱动技术导出所述加权,或可以利用补充实体轮廓建模的数据驱动技术导出所述加权。因此,在实施例中,有利地,所述数据驱动技术可以无需实体轮廓模型;这可能有用于例如限制机密信息的共享,这是因为所述实体轮廓建模始于在如果所述单位单元是器件图案结构的情况下可能是敏感信息的关于所述单位单元(并且因而关于目标)的细节、并且确定了所述细节。在实施例中,所述数据驱动技术可以使得能够相对快速确定例如如上文所论述的权重,以将所测量的光学信息(例如,光瞳强度)转换成图案化过程参数(例如,重叠)。在实施例中,所述数据驱动技术使得能够在早期确定所述图案化过程参数,这是由于如下文所论述般所述数据驱动技术可以仅需要所测量的数据和相关联的参考。
因此,在实施例中,所述数据驱动技术涉及利用所关注的图案化过程参数(例如,重叠)的某一个设定值或更多个某些设定值来处理从具有所关注的单位单元的实体实例的一个或更多个衬底测量的数据(“得到”数据),所述实体实例被图案化在所述一个或更多个衬底上作为一个或更多个目标。用于创建图案的某一图案化过程参数(例如,重叠)的“设定的”有意值连同从那些图案测量的数据(“得到”数据)的这种组合被称作“设定-得到”(set-get)过程。例如,单位单元的实体实例的特定量的重叠作为所述图案化过程的部分而产生,并且接着,具有所述单位单元的实体实例的所述目标被测量以获得例如其光瞳图像(即,“得到”数据)。在实施例中,可以用这种方式图案化并且测量多个衬底。在实施例中,产生了重叠的多个不同设定值,重叠的所述不同值可以在一个衬底上,可以跨越不同衬底等等。在实施例中,每个衬底将具有所测量的多个目标实例,从而产生例如多个光瞳图像。在实施例中,可以通过引发从在对所述单位单元的实体实例的不同部分进行图案化之间的设计放大率的放大率改变,来产生所述重叠。在实施例中,可以通过提供从对所述单位单元的实体实例的不同部分进行图案化之间的设计定位的有意平移,来产生所述重叠。因而,结果是例如由所述光刻设备引发的目标中的有意施加的重叠。
在实施例中,通常,获得测量数据和相关联的参考值。因此,在实施例中,如果存在不同重叠但那些重叠是由另一构件确定(例如,从扫描电子显微镜来确定),则无需提供有意的重叠。在实施例中,具有对应的参考数据(例如,来自CD-SEM)的临界尺寸均一性衬底可以用作输入数据。利用所测量的数据和参考值,如本文中所论述的,所述数据驱动方法可以找出权重使得所推断的重叠值类似于参考值。因此,虽然所述数据驱动技术的论述将聚焦于在有意设定重叠值的情况下获得的光瞳表示和所测量的光学信息,但它们通常可以应用于更一般的测量数据和相关联的参考值(而不论是所测量的或有意设定的)。
此外,虽然这里的技术涉及特定的重叠(例如,在X方向上的重叠),但应了解,可以针对不同的重叠(例如,在Y方向上的重叠、不同层中的结构之间的重叠,等等)使用对应的测量数据和参考值来重复这里的技术。因而,可以针对不同的重叠确定不同的权重集合。
因此,参考图13,描绘了数据驱动技术的实施例的高阶流程。在1300处,执行计算以导出所述权重(如上文所论述)以将所测量的光学信息(例如,光瞳强度)转换成图案化过程参数(例如,重叠)。特别地,所述计算使用若干输入。输入中的一个输入是针对具有所关注的单位单元的实体实例的目标的“设定-得到”的过程的设定值1320。如上文提及的,可以跨越一个或更多个衬底来测量目标的多个实例,其中所述目标的一个或更多个实例与所述目标的一个或更多个其它实例相比具有图案化过程参数的有意设定值的不同值。另外的输入是针对在不同设定值处的所述目标的那些实例的所测量的光学信息1310。在实施例中,光学信息1310是多个光瞳表示,每个光瞳表示对应于所述目标的实例。接着,以数据驱动技术来处理输入1310和1320以获得权重1330。下文中描述这种数据驱动技术的示例。
在实施例中,用以找出权重w的向量的数据驱动技术的示例是:对以下目标或评价函数/优值函数进行最小化以获得权重w:
其中w是为了确定图案化过程参数(例如,重叠)而用于与所测量的光学特性(例如,强度)的值相组合的权重的向量,每个权重对应于所述光瞳的像素值;Pi是矩阵,其中每个列包含来自目标(所述目标从经图案化的衬底i获得)的实例的所测量的光瞳的所测量的光学特性的像素值,以便获得所述图案化过程参数的特定设定值(所述矩阵接着被转置使得列变为所述光瞳的像素,行变为所述衬底上的所述目标的一个或更多个实例,并且所述矩阵中的值是在相应像素处所测量的光学特性的值);si 是包含针对所述一个或更多个衬底i上的所述目标的一个或更多个实例的所述图案化过程参数的对应的设定值的向量,每个设定值对应于图案化过程参数值;1是设定值数目的大小的单位向量;并且ci是针对每个衬底的、在所述图案化过程参数的设定值与所述图案化过程参数的推断值之间的偏移差;并且D是所测量的衬底的数目。矩阵Pi可以是针对所述目标的每个实例的不同结果的组合。例如,可以在不同波长、不同偏振等等的情况下测量所述目标。因此,可以将这些结果串接至每个列,因此例如单个列可以具有针对在第一波长和第一偏振的情况下所测量的目标的光瞳的像素的值,接着是在所述列中的针对在不同的第二波长的情况下所测量的所述目标的光瞳的像素的值,或接着是在所述列中的针对在不同的第二偏振的情况下所测量的所述目标的光瞳的像素的的值(并且其可以接着是在一个或更多个不同偏振和/或波长的情况下的另外的值)。
因此,实际上,这种函数找出所述权重向量w,使得针对每个衬底i的推断值看起来与除偏移ci之外的设定值si 尽可能相似(在L2正则化范数意义上)。原则上,可以由矩阵求逆来计算最优权重和偏移。由于利用一个或更多个特定量测设备来取得所测量的光学特性的像素值,则可以由校准数据归一化所获得的权重以降低所述特定量测设备自身对结果的影响。
代替将目标或评价函数用作数据驱动技术来找出如以上描述的权重、或者除了将目标或评价函数用作数据驱动技术来找出如以上描述的权重以外,所述数据驱动技术也可以使用机器学习算法(类似于神经网络)、或非线性方法,以利用有意提供的在所关注的所述图案化过程参数(例如,重叠)中的差、基于目标的所测量的光瞳,来确定所述权重。
在实施例中,在训练(即,使用目标或评价函数或机器学习算法)之后,可以使用其它数据来检查所述权重。存在着所述训练导致过度拟合的可能性;所述数据驱动方法“恰好”将数据拟合至设定值。因此,进行交叉验证。使用具有已知设定值的新数据来检查所述权重。这种新数据也可以是即将到来的衬底的子集。因此,在实施例中,对衬底的子集进行训练,并且对衬底的另一(分离的)子集进行验证。
图14描绘与实体几何模型相结合的数据驱动技术的实施例的高阶流程。在这种实施例中,如关于图13所描述的数据驱动技术可以用于导出权重,所述权重用于调谐实体几何模型(例如,通过使用海森矩阵以获得较好的模型名义值、通过改变所述模型名义值,等等)从而使得来自实体几何模型(例如,实体几何模型的雅可比矩阵(的摩尔-彭若斯伪逆))的权重与由所述数据驱动技术而确定的权重相同或相似(例如,在值、统计等等方面)。因而,在实施例中,(缩放的)权重向量w可以用于微调所述实体几何模型从而所述实体几何模型被调谐使得雅可比矩阵(的摩尔-彭若斯伪逆)类似于所述(缩放的)权重向量w。
因此,在实施例中,在1400处,执行了数据驱动技术(其示例在上文描述)以导出如上文所论述的权重。所述计算使用若干输入。输入中的一个输入是针对具有所关注的单位单元的实体实例的目标的“设定-得到”过程的设定值1420。如上文提及的,可以跨越一个或更多个衬底来测量目标的多个实例,其中,与所述目标的一个或更多个其它实例相比,所述目标的一个或更多个实例具有图案化过程参数的有意设定值的不同值。另外的输入是针对在不同设定值处的所述目标的那些实例的所测量的光学信息1410。在实施例中,所述光学信息1410是多个光瞳表示,每个光瞳表示对应于所述目标的实例。接着,以数据驱动技术来处理所述输入1410和1420以获得权重1430。
将权重1430输入至过程1440以使用所述权重1430微调实体几何模型。过程1440获得针对所述单位单元的实体轮廓1450(过程1440使用所述实体轮廓以导出实体轮廓模型)或获得针对所述单位单元的实体轮廓模型1450(过程1440使用所述实体轮廓模型)。在实施例中,所述实体轮廓是如上文所论述的单位单元的所导出的名义轮廓和/或所导出的名义轮廓模型。
所述过程1440使用所述实体几何模型以导出与权重1430相对应的权重。接着将那些权重与权重1430进行比较。所述比较可以涉及量值的匹配、统计分析、拟合评估等等。如果存在显著差异(例如,通过评估相对于阈值的所述比较),则可以调谐所述实体轮廓的一个或更多个参数。例如,可以调谐一个或更多个实体轮廓参数(例如,CD、侧壁角、材料高度等等)使得所述比较的结果更接近于或等于例如某一阈值。在实施例中,所述海森矩阵可以用于进行这种微调,或可以使用非线性求解器(包括一个或更多个前向调用(例如,麦克斯韦求解器))来进行这种微调。所述调谐和比较可以被迭代直至满足或跨越所述阈值为止。接着,经调谐后的实体几何模型可以输出更新后的权重1460以与所关注目标的所测量的光学信息结合使用以导出图案化过程参数值。
图15描绘了结合实体几何模型的数据驱动技术的另外的实施例的高阶流程。当实体几何模型与所测量的数据表现类似时,所述实体几何模型可以用于预测过程变化的影响。因此,在实施例中,所述实体几何模型的海森矩阵可以用于调谐所述权重使得权重变得(更)正交于在所述数据驱动技术中所使用的数据中不存在的过程变化,以获得用于调谐所述实体几何模型的权重。
也可以在不利用所述数据驱动技术的情况下完成使用海森矩阵以调谐所述权重的这种方法。即,可以利用与图11相关联而描述的实体几何模型方法来执行使用所述海森矩阵以更新所述权重的这种技术。在这种情况下,例如,所述权重可以被调谐使得所述权重变得(更)正交于在用于获得如上文所论述的单位单元的所导出的名义轮廓和/或所导出的名义轮廓模型的数据中不存在的过程变化。经过这种调节,所述权重对在用以创建所述实例几何模型的所测量数据中没有观测到的过程变化变得更稳固。
因此,在实施例中,在1500处,执行数据驱动技术(其示例在上文描述)以导出如上文所论述的所述权重。所述计算使用若干输入。所述输入中的一个输入是用于具有所关注的单位单元的实体实例的目标的“设定-得到”的过程的设定值1510。如上文提及的,可以跨越一个或更多个衬底来测量目标的多个实例,其中所述目标的一个或更多个实例与所述目标的一个或更多个其它实例相比具有图案化过程参数的有意设定值的不同值。另外的输入是针对在不同设定值处的所述目标的那些实例的所测量的光学信息1505。在实施例中,所述光学信息1505是多个光瞳表示,每个光瞳表示对应于所述目标的实例。接着,以数据驱动技术来处理输入1505和1510以获得所述权重1515。
将所述权重1515输入至过程1520以使用所述权重1515来微调实体几何模型。所述过程1520获得针对所述单位单元的实体轮廓1525(所述过程1520使用所述实体轮廓以导出实体轮廓模型)或获得针对所述单位单元的实体轮廓模型1525(过程1520使用所述实体轮廓模型)。在实施例中,所述实体轮廓是如上文所论述的单位单元的所导出的名义轮廓和/或所导出的名义轮廓模型。
所述过程1520使用所述实体几何模型以导出权重(其对应于权重1515)且接着将那些权重与权重1515进行比较。所述比较可以涉及量值的匹配、统计分析、拟合评估等等。如果存在显著差异(例如,通过评估相对于阈值的所述比较),则可以调谐所述实体轮廓的一个或更多个参数。例如,可以调谐一个或更多个实体轮廓参数(例如,CD、侧壁角、材料高度等等)使得所述比较的结果更接近于或等于例如某一阈值。在实施例中,所述海森矩阵可以用于进行这种微调,或可以使用非线性求解器(包括一个或更多个前向调用(例如,麦克斯韦求解器))来进行这种微调。所述调谐和比较可以被迭代直至满足或跨越所述阈值为止。
但如应了解的,图案化过程可以在执行期间变化且以不同方式用于所述图案化过程的不同执行。因而,针对所述数据驱动技术获得的数据并没有考虑所有可能的图案化过程变化。但当所述实体几何模型的调谐已使其与所测量的数据表现类似时,所述实体几何模型可以用于预测过程变化的影响且相应地调整所述权重。
因此,在实施例中,经调谐的实体几何模型1530用于在1535处计算经调谐的实体几何模型的海森矩阵。所述海森矩阵1540接着用于在1545处调谐所述权重使得所述权重变得(更)正交于(即,对于后者是稳固的)在所述数据驱动技术中所使用的数据中不存在的过程变化,以获得用以调谐所述实体几何模型的所述权重。换句话说,所述权重被调谐成当与来自衬底的测量数据相组合时(即使当所述衬底经受过程变化时)更可能产生准确的结果。
这里在重叠的情境下描述了所述海森矩阵可以如何用于微调所述权重的非限制性示例;可以酌情使用不同的图案化过程参数。在这个示例中,假定仅评估一个重叠类型(例如,在X方向上的重叠)。在具有多个重叠类型的情况下的微调也是可能的。
在使用所述海森矩阵来微调所述权重的这种实施例中,根据从一个或更多个“设定-得到”的衬底所测量的数据通过对所述数据施加奇异值分解,来估计重叠响应。假定本征向量(其具有长度1)对应于重叠响应。接着对以下方程式进行求解以找出向量Δp:
其中J是关于重叠参数的雅可比矩阵;并且所述海森矩阵H是这样的矩阵:其中所述列包含重叠参数和过程变化(例如,CD、材料高度等等之中的变化)相关的偏导数(雅可比矩阵和海森矩阵两者从如以上描述的模型来获得)。所确定的向量Δp接着对应于为了获得经更新的(例如,更好的)模型而将要应用至所述模型中的非重叠参数的德尔塔(即差量)参数。
为了使得权重对于过程变化稳固(即,正交于过程变化),可以使用以下技术。可以用以下二阶泰勒展开式来定义光瞳I:
I=Jo+H Δpo (8)
其中J是关于所述重叠参数的雅可比矩阵;并且H是这样的矩阵,其中列包含重叠参数和过程变化(例如,CD、材料高度等等的变化)相关的偏导数。向量Δp包含对应的过程变化。因而,针对给定结构且针对具有重叠值ο的给定过程变化实例Δp,所述光瞳等于(近似地等于)I。如应了解的,也可以通过将这些贡献相加来将以上公式化扩展至更多的重叠参数。此外,因为泰勒展开式中的较高阶被忽略,则这种公式化是近似。
然而,当过程变化具有大影响时,所述重叠响应改变:
为了使权重对这些变化稳固,
Hw=0 (11)
这可以通过使权重w等于矩阵[J H]的伪逆的第一行来实现。或者,换句话说,所述海森矩阵H在求逆之前串接至雅可比矩阵。以这种方式,所述权重变得正交于所述过程变化(但以损失某种精度为代价)。
因而,根据调谐1545,输出了经调谐的权重1550以用于与所关注目标的所测量的光学信息结合以导出图案化过程参数值。
图16描绘了结合实体几何模型的数据驱动技术的另外的实施例的高阶流程。在这种实施例中,通过使包括包含针对所述图案化过程的过程变化(例如,可以从CD测量获得所述图案化过程变化)的合成光学信息(例如,光瞳表示),来扩展输入至所述数据驱动技术的数据。可以单独使用所述合成光学信息、或将所述合成光学信息与所测量的光学信息组合使用,以使用数据驱动技术来找出新权重。
因此,在实施例中,在1500处,执行数据驱动技术(其示例在上文描述)以导出如上文所论述的所述权重。所述计算使用若干输入。所述输入中的一个输入是针对具有所关注单位单元的实体实例的目标的“设定-得到”过程的设定值1510。如上文提及的,可以跨越一个或更多个衬底来测量目标的多个实例,其中所述目标的一个或更多个实例与所述目标的一个或更多个其它实例相比具有图案化过程参数的有意设定值的不同值。另外的输入是针对在不同设定值处的目标的那些实例的所测量的光学信息1505。在实施例中,所述光学信息1505是多个光瞳表示,每个光瞳表示对应于所述目标的实例。接着,以数据驱动技术来处理输入1505和1510以获得所述权重1515。
将所述权重1515输入至过程1520以使用所述权重1515来微调实体几何模型。所述过程1520获得针对所述单位单元的实体轮廓1525(所述过程1520使用所述实体轮廓以导出实体轮廓模型)、或针对所述单位单元的实体轮廓模型1525(过程1520使用所述实体轮廓模型)。在实施例中,所述实体轮廓是如上文所论述的单位单元的所导出的名义轮廓和/或所导出的名义轮廓模型。
所述过程1520使用所述实体几何模型以导出与权重1515相对应的权重。接着将那些权重与权重1515进行比较。所述比较可以涉及量值的匹配、统计分析、拟合评估等等。如果存在显著差异(例如,通过评估相对于阈值的所述比较),则可以调谐所述实体轮廓的一个或更多个参数。例如,可以调谐一个或更多个实体轮廓参数(例如,CD、侧壁角、材料高度等等)使得所述比较的结果更接近于或等于例如某一阈值。所述调谐和比较可以被迭代直至满足或跨越所述阈值为止。
因此,在实施例中,经调谐的实体几何模型1530用于在1535处计算经调谐的实体几何模型的海森矩阵。所述海森矩阵1600接着用于在1610处产生合成光学信息(例如,一个或更多个光瞳表示)。合成光学信息是模拟的光学信息。所述合成光学信息预期模仿在所述图案化过程中的一个或更多个预期过程变化。在实施例中,关于所述图案化过程中的一个或更多个过程变化的数据1620可以与海森矩阵1600结合使用以导出所述合成光学信息。在实施例中,可以通过在以上方过程(8)中代入不同重叠值ο和不同参数变化Δp来产生合成光瞳I,其中所述权重对应于虽然上文描述的方程式(8)是针对单个重叠参数,但所述技术也可以通过将那些贡献相加而扩展至更多重叠参数。此外,因为泰勒展开式中的较高阶被忽略,因此使用方程式(8)的技术是近似。所述数据1620可以包括例如描述过程变化的种类和范围的信息(例如,重叠、CD等等可以变化某一百分比的指示)。可以通过在所述图案化过程中的测量(例如,重叠、CD等等的测量)来获得所述数据1620。因而,所述数据1620与海森矩阵1600一起使用以产生包括所预期的过程变化的模拟光学信息1630。所述合成光学信息1630也可以包括与所述合成光学信息1630相关联的一个或更多个相关联的估计设定值。接着将所述合成光学信息1630(和任何相关联的设定值)输入至所述数据驱动技术1500以用于单独分析或结合所测量的光学信息进行分析,以使用所述数据驱动技术找出新权重。
图17描绘了结合实体几何模型的数据驱动技术的另外的实施例的高阶流程。这种实施例类似于图16的实施例,除了以下情形之外:代替计算海森矩阵,针对每个过程变化的非线性求解器(例如,麦克斯韦求解器)进行前向调用以获得所述合成光学信息。
因此,在实施例中,在1500处,执行数据驱动技术(其示例在上文描述)以导出如上文所论述的所述权重。所述计算使用若干输入。所述输入中的一个输入是用于具有所关注的单位单元的实体实例的目标的“设定-得到”的过程的设定值1510。如上文提及的,可以跨越一个或更多个衬底来测量目标的多个实例,其中所述目标的一个或更多个实例与所述目标的一个或更多个其它实例相比具有图案化过程参数的有意设定值的不同值。另外的输入是针对在不同设定值处的所述目标的那些实例的所测量的光学信息1505。在实施例中,所述光学信息1505是多个光瞳表示,每个光瞳表示对应于所述目标的实例。接着,以数据驱动技术来处理输入1505和1510以获得所述权重1515。
将所述权重1515输入至过程1520以使用所述权重1515来微调实体几何模型。所述过程1520获得针对单位单元的实体轮廓1525(所述过程1520使用所述实体轮廓以导出实体轮廓模型)、或针对单位单元的实体轮廓模型1525(所述过程1520使用所述实体轮廓模型)。在实施例中,所述实体轮廓是如上文所论述的单位单元的所导出的名义轮廓和/或所导出的名义轮廓模型。
所述过程1520使用所述实体几何模型以导出与权重1515相对应的权重。接着将那些权重与权重1515进行比较。所述比较可以涉及量值的匹配、统计分析、拟合评估等等。如果存在显著差异(例如,通过评估相对于阈值的所述比较),则可以调谐所述实体轮廓的一个或更多个参数。例如,可以调谐一个或更多个实体轮廓参数(例如,重叠、CD、侧壁角等等)使得所述比较的结果更接近于或等于例如某一阈值。所述调谐和比较可以被迭代直至满足或跨越所述阈值为止。
因此,在实施例中,在1720处使用经调谐的实体几何模型1700以计算类似于如上文所论述的合成光学信息。类似于上文所论述的,关于所述图案化过程的一个或更多个过程变化的数据1710可以与经调谐的实体几何模型1700组合使用以导出所述合成光学信息。例如,所述数据1710可以包括描述过程变化的种类和范围的信息(例如,重叠、CD等等可以变化某一百分比的指示)。可以通过在所述图案化过程中的测量(例如,重叠、CD等等的测量)来获得所述数据1710。如上文提及的,在1720处的过程可以使用对于针对所述过程变化的非线性求解器(例如,麦克斯韦求解器)的前向调用以获得合成光学信息。因而,所述数据1710与经调谐的实体几何模型1700一起使用以产生包括预期过程变化的模拟光学信息1730。所述合成光学信息1730也可以包括与所述合成光学信息1730相关联的一个或更多个相关联的估计设定值。接着将所述合成光学信息1730(和任何相关联的设定值)输入至所述数据驱动技术1500以用于单独分析或结合所测量的光学信息进行分析,以使用所述数据驱动技术找出新权重。
在图10A至图10C中,呈现了单位单元的相对简单示例,其中基本上仅在一个方向上的重叠造成所述单位单元的对称性的改变。特别地,在图10A至图10C的单位单元中,在X方向上的重叠改变引起所述单位单元的对称性/不对称性的改变,而在Y方向上的重叠改变不引起所述单位单元的对称性的改变。这是由于图10A至图10C的单位单元具有两个结构1000、1005,所述两个结构1000、1005以特定几何方式配置使得基本上仅在一个方向上的重叠造成所述单位单元的对称性的改变。当然,这种单位单元可以这种方式通过结构的适当选择来设计。然而,可能出现以下情况:可以识别出现有结构(诸如器件结构)具有特定几何形状,使得基本上仅在一个方向上的重叠造成所述单位单元的对称性的改变。因此,可以选择或设计各个单位单元,使得能够确定基本上仅在一个方向上的重叠(其无需在X方向上)。
然而,有利地,可以识别或设计这样的单位单元:所述单位单元被配置使得针对两个或更多个不同重叠而导致所述单位单元的对称性改变。在实施例中,不同的重叠可以在不同的方向上。具体地,在实施例中,第一重叠可以在X方向上,而第二重叠可以在Y方向上。在实施例中,不同的重叠可以各自位于所述单位单元的结构或部分的不同组合之间。在实施例中,那些结构可以处于所述目标的同一层中和/或所述目标的不同层中。具体地,在实施例中,第一重叠可以在所述单位单元的第一结构与第二结构之间,并且第二重叠可以在所述单位单元的第一结构(或第二结构)与第三结构之间、或在所述单位单元的第三结构与第四结构之间。在这种情况下,第一重叠和第二重叠可以在同一方向上。自然地,可能存在在不同方向上的不同重叠和来自所述单位单元的结构的组合的不同重叠的组合。例如,第一重叠可以针对第一层中的第一结构和下部的第二层中的第二结构而在X方向上,并且第二重叠可以针对第一层中的第一结构和低于第二层的第三层中的第三结构而在Y方向上。因而,可以经过对所述单位单元(并且因而所述目标)的适当识别或设计来确定重叠的许多组合。
此外,如应了解的,在X方向和Y方向上的重叠的确定可以使得能够经过适当组合来确定总重叠(在X和Y上)。类似地,为了使得能够确定针对多个不同结构(在所述不同结构之间可以发生重叠)的总重叠,则需要确定针对那些结构中的每个结构的重叠。因此,作为示例,针对在层之间可能发生重叠的4个层(其中所述层中的一个层是参考层)中具有4个不同结构的单位单元,则可以确定6个重叠(针对每个层的X和Y)以使得能够确定所述单位单元的总重叠。当然,可以根据需要确定子组合以获得在4个层之中的所关注的一个或更多个不同的重叠。
图18描绘了目标的多重叠单位单元的示例实施例。类似于图10A至图10C的所述单位单元,这种单位单元包括第一结构1000和第二结构1005。另外,这种单位单元具有第三结构1800,在这种实施例中所述第三结构在Z方向上位于第一结构1000和第二结构1005上方的层中。在这种实施例中,这种单位单元的不对称性可以由一个或更多个不同的重叠创建。例如,在X方向上在结构1005与结构1800之间的相对移位可以在X方向上产生造成不对称性的重叠。作为另一示例,在Y方向上在结构1005与结构1000之间的相对移位可以在Y方向上产生造成不对称性的重叠。作为另一示例,在Y方向上在结构1000与结构1800之间的相对移位可以在Y方向上产生造成不对称性的另外的重叠。
图19描绘了目标的多重叠单位单元的另一示例实施例。类似于图10A至图10C的所述单位单元,这种单位单元包括第一结构1000和第二结构1005。另外,类似于图18的单位单元,这种单位单元具有第三结构1800,在这种实施例中所述第三结构在Z方向上位于第一结构1000和第二结构1005上方的层中。另外,这种单位单元具有第四结构1900,在这种实施例中所述第四结构在Z方向上位于第一结构1000、第二结构1005和第三结构1800上方的层中。类似于图18的单位单元,在这种实施例中,可以由一个或更多个不同的重叠创建这种单位单元的不对称性。例如,在X方向上在结构1005与结构1800之间的相对移位可以在X方向上产生造成不对称性的重叠。作为另一示例,在X方向上在结构1005与结构1900之间的相对移位可以在X方向上产生造成不对称性的重叠。作为另一示例,在Y方向上在结构1005与结构1000之间的相对移位可以在Y方向上产生造成不对称性的重叠。作为另一示例,在Y方向上在结构1000与结构1800之间的相对移位可以在Y方向上产生造成不对称性的另外的重叠。
因而,在实施例中,图18或图19的所述单位单元的被照射的实体实例的测量将在如果实际上存在多个不同重叠的情况下产生可能包括多个不同重叠的光学信息。例如,参考图18,如果图18的单位单元的对称性表示零重叠并且存在着所述结构1005在X和Y上从其零重叠位置相对于其重叠结构的移位(例如,在不为0度、90度、180度或270度的方向上的移位),则所述移位将会由于在结构1005与结构1800之间在X方向上的相对移位、和在结构1005与结构1000之间在Y方向上的相对移位而造成不对称性。因此,将会期望确定针对结构1005在X方向和Y方向上的重叠两者(所述两个重叠的组合将产生结构1005的总重叠)。
如下文中所论述的,呈现了可以根据光学特性值,以与也能够根据相同光学特性值而获得的针对单位单元的实体实例的第二重叠分离的方式来确定针对所述单位单元的实体实例的第一重叠的值的技术,其中所述第一重叠在与第二重叠不同的方向上(例如,X方向重叠和Y方向重叠)、或在与第二重叠不同的所述单位单元的部分的不同组合之间(例如,第一重叠位于结构1005与结构1800之间,并且第二重叠位于结构1005与结构1000之间、或结构1000与结构1800之间,其中所述第一重叠和所述第二重叠可能在同一方向上)。
即,在实施例中,确定权重以将在光学特性值中的第一重叠信息与同一光学特性值中的第二(或更多)重叠信息解耦。因而,在实施例中,通过应用专门选定的权重,则所述权重与光学特性值的组合将产生与相同光学特性值中的其它可能的重叠信息区别开的所关注的特定的重叠。实际上,所述权重将以所关注的重叠为特征且减少一个或更多个其它重叠。当然,可以针对每个所关注的重叠来构造权重的不同集合,使得光学特性值可以被处理以产生针对不同的所关注的重叠中的每个所关注的重叠的不同值。
将关于图20的曲线图来描述这种技术。图20的曲线图呈现所述技术的图形呈现,但实际上无需构造曲线图,这是因为所有处理都可以在无需创建曲线图的情况下以数学方式进行。另外,关于图11的模型来描述所述技术。但是,可以使用关于本文中的其它图而描述的模型(和相关联的其它技术)。
另外,依据从所述模型导出所述权重的线性版本,来呈现这种示例。即,在实施例中,从雅可比矩阵(的摩尔-彭若斯伪逆)导出所述权重。
因此,在这种线性情况下,为了重构诸如在某一方向上的重叠之类的特定参数,可以对雅可比矩阵求逆。但,所关注的参数的列与其余列的相关程度确定了重构这种参数的容易程度。
因此,在具有例如针对所关注的单位单元(例如,图18的单位单元)的名义轮廓模型的情况下,可以产生至少两个向量。第一重叠向量p1 表示所述单位单元内的所关注的第一重叠(例如,X方向重叠),并且第二重叠向量p2 表示所关注的第二重叠(例如,Y方向重叠)。如应了解的,针对额外的所关注的重叠可以创建另外向量。
另外,对于两个重叠向量中的每个重叠向量,选择与所述单位单元的实体实例的预期测量结果相对应的光瞳表示的一个或更多个像素。在这种实施例中,针对每个重叠向量选择一对像素,其中每对像素包括如早先描述的被对称地定位的像素。理想地,所述一对像素选自如上文所论述的光瞳表示的不对称辐射分布部分。
现在,第一重叠向量p1 对应于所述一对像素中的对于针对第一重叠向量的所关注的第一重叠的改变(所有其它参数不变,即,所关注的第二重叠无改变)的响应(在这种情况下,所述响应是在形成一对的像素之间的不对称信号)。可以使用名义轮廓模型通过引发所关注的第一重叠的改变(例如,1nm改变)且接着计算所述一对像素中的对于该改变的光学响应(例如,强度),来产生这种响应。
类似地,第二重叠向量p2 对应于所述一对像素中的对于针对第二重叠向量的所关注第二重叠中的改变(所有其它参数不变,即,所关注的第一重叠无改变)的响应(在这种情况下,所述响应是在形成一对的像素之间的不对称信号)。可以使用名义轮廓模型通过引发所关注的第二重叠的改变(例如,1nm改变)且接着计算所述一对像素中的光学响应(例如,强度),来产生这种响应。
图20用图表示得到的向量,其中水平轴u对应于第一像素对的被对称地定位的像素之间的不对称强度(Ii-Ii’),并且竖直轴v对应于第二像素对的被对称地定位的像素之间的不对称强度(Ii-Ii’)。因此,图20示出两个高度地相关的向量p1 和p2 。
因此,为了将所关注的第一重叠和第二重叠对于多个像素对的贡献解耦和分离,则将向量p1 背向投影至向量(所述向量是与向量p2 正交的向量)上,以形成向量p′1,且将所投影的向量p′1的长度除以向量p1 与之间的角度θ1的余弦。这个向量接着有助于将所关注的第一重叠与多个像素对(以及扩展地即引申地在所述光瞳表示中的其它像素对)的强度隔离开。
另外地或替代地,将向量p2 背向投影至向量(所述向量是与向量p1 正交的向量)上,以形成向量p′2,且将所投影的向量p′2的长度除以向量p2 与之间的角度θ2的余弦。这个向量接着有助于将所关注的第二重叠与多个像素对(以及扩展地即引申地在光瞳表示中的其它像素对)的强度隔离开。
因此,返回参考方程式(3)和(4),Si表示一对像素的被对称地定位的像素之间的不对称强度(Ii-Ii’)。因此,第一重叠向量p1 可以对应于在Si为U0的第一像素对和Si为V0的第二像素对中对于所关注的第一重叠的改变的响应。类似地,第二重叠向量p2 可以对应于那些第一像素对和第二像素对中对于所关注的第二重叠的改变的响应。因此,可以构造向量p′1和/或向量p′2;这里出于解释性目的来构造所述两个向量。向量p′1和向量p′2是依据与对应于U0的第一像素对相对应的强度u、并且依据与对应于与V0的第二像素对相对应的强度v来限定的。因此,可以将向量p′1和向量p′2指定为:
p′1 =(u′1,v′1) (12)
p′2 =(u′2,v′2) (13)
因此,现在在上文所描述的且参考方程式(4)的线性情境下,可以接着基于U0、V0和向量p′1和p′2来将所关注的第一重叠的重叠值定义如下:
OV p1 =(u′1U0+v′1V0)/cosθ1 (14)
另外地或替代地,可以接着基于U0、V0和向量p′1和p′2来将所关注的第二重叠的重叠值定义如下:
OV p2 =(u′2U0+v′2V0)/cosθ2 (15)
因此,根据方程式(14),以下是分别针对U0和V0的用以确定所关注的第一重叠的权重:
另外,根据方程式(15),以下是分别针对U0和V0的用以确定所关注的第二重叠的权重:
因此,如应了解的,可以针对光瞳表示中的全部或大体上全部像素对来重复这种操作,以便获得针对所关注的第一重叠的权重wi的集合和/或获得针对所关注的第二重叠的权重wi的集合。可以接着根据方程式(4)将这些权重中的一个或两者应用至所测量的光学特性值以获得针对相应的所关注的重叠的重叠值。当然,可以评估一个或更多个另外的所关注的重叠且针对它们确定一个或更多个适当的权重集合。如应了解的,在实施例中,在针对所关注的特定的重叠的权重定义中包括了对于所有不同的所关注的重叠的灵敏度(例如,雅可比矩阵)。
因此,例如,对于具有4个层(其中所述层中的一个层是参考层)的单位单元,其中所述层中的每个层在X方向和Y方向上的移位可能造成对称性的改变(例如,造成不对称性,或造成另外的不对称性,或导致不对称的单位单元变得对称),则可以创建6个向量(每个向量与不同像素对相关联),所述6个向量包括针对所述层中的每个层的X方向重叠向量和针对所述层中的每个层的Y方向重叠向量。因而可能存在权重的6个集合以导出相应的重叠。当然,如果所述向量中的一个向量不是所关注的,则无需导出全部权重集合(但在实施例中,对于所有不同的所关注的重叠的灵敏度(例如,雅可比矩阵)被包括在针对所关注的特定重叠的权重定义中)。可以接着由这些重叠中的两个或更多个重叠的适当的数学组合来确定任何其它重叠。
如应了解的,单位单元中的层的一些移位将不会造成对称性的改变,且因此,无法从所述单位单元确定对应于所述移位的重叠。因此,显然,针对这种移位将不会定义向量。因此,将图18视为示例,可以针对所述单位单元定义三个向量,一个向量针对X方向重叠且两个向量针对不同的Y方向重叠。因此,可以确定当与所测量的光学特性值组合时将给出在X方向上的重叠的一个权重集合。或者,可以确定在与所测量的光学特性值组合时将给出在Y方向上的重叠中的一个重叠的权重的集合,和/或可以确定当与所测量的光学特性值组合时将给出在Y方向上的重叠中的另一重叠的权重的集合。当然,可以确定全部三个权重集合或可以仅确定两个权重集合。
以上的论述已聚焦于由对称单位单元的一个或更多个实例所形成的目标,所述对称单位单元由器件的结构组成。这种目标可以使得能够经过由产品上的目标进行被重新引导的辐射的产品上测量,来确定图案化过程参数的产品上的值。然而,如以上所描述的,所述目标无需仅由器件结构组成。换句话说,可以设置其结构不独占地包括器件结构的非产品目标。例如,在实施例中,目标可以是不用来形成器件而是仅用于测量的专门产生的结构。这种目标可以设置在例如远离器件的划道中(并且因而在远离所述器件图案的器件图案化图案的部分中设置这种目标)。在实施例中,所述目标可以被设置在在器件图案之中(并且因而,设置在图案化器件图案的器件图案的特征之中)。酌情地,非产品目标可以包括:一个或更多个器件结构和不用以形成器件而是仅用于测量的一个或更多个专门产生的结构。
如果例如针对无法呈现对称的单位单元实例的器件图案来确定图案化过程参数,则非产品目标可以是有用的。作为另一示例,如果例如针对不具有如以上描述的对称单位单元的器件图案的一部分来确定图案化过程参数,则非产品目标可能是有用的,所述非产品目标可以给出所述图案化过程参数的量度。例如,可能存在如下情况:期望通过使用上文描述的对称单位单元方法来确定针对蚀刻后的重叠的结构,但所述结构不具有对称性。例如,逻辑电路或结构具有各自能够引入可能破坏所述结构的对称性的不同重叠分量的许多过程层/步骤。在例如逻辑电路的情况下,典型地由于缺乏(即不具有)逻辑电路结构的对称单位单元,则而无法执行对器件图案的测量。
作为另外的示例,非产品目标可以与能够呈现对称的单位单元实例的器件图案相关联地使用(并且即使所述单位单元可以给出所有所关注的图案化过程参数的量度也如此)。这可以是例如如下情况:如果所述器件图案复杂,则可能需要相当大的计算时间。此外,所述器件图案可以呈现与不关注的图案化过程参数的信号的潜在串扰。作为示例,不同的重叠分量的光瞳相关性可能很大,以至于不可能将不同的重叠误差分离。
因而,非产品目标可以与具有针对束斑的对称单位单元的实例的器件图案一起使用,或与无法呈现针对所述束斑的对称单位的实例的器件图案一起使用。
因此,在实施例中,非产品目标可以被设计成使得特定类型的所关注的图案化过程参数(例如,重叠)破坏所述非产品目标的某一类型的(光瞳)对称性;这类似于上文描述的技术。并且,虽然重叠将是论述的焦点,但如同上文所论述的,可以确定除重叠之外的一个或更多个不同图案化过程参数。
当然,对于用来给出所述图案化过程参数的量度的非产品目标,所述非产品目标将遵循被认为是所讨论的图案化过程参数的主要贡献因素的那些过程步骤。因而,如上文所论述的,如果例如在单独的图案化过程中所创建的两个结构之间的重叠是所关注的,则所述非产品目标包括了在所述单独的图案化过程中的每个图案化过程中所创建的、且期望地由相同的或相当的过程创建的结构。
另外,破坏某一类型的几何对称性(例如,Y对称性)会导致破坏在光瞳域中的相同类型的对称性。因此,非产品目标可以被设计成针对特定类型的几何对称性,使得对应的特定的图案化过程参数值造成对称性的破坏。例如,Y对称性被X重叠破坏。另外,在多于一个方向上存在对称性的情况下,使用被设计成使得不同类型的图案化过程参数(例如,不同的重叠类型,诸如在X上的重叠和在Y上的重叠)破坏不同类型的对称性的一种目标能够实现监控所引发的不对称性(根据对称性的相关类型),从而每次确定一个图案化过程参数(例如,重叠)。
非产品目标可以具有一个或更多个优点。例如,非产品目标设计与使用来自产品上的目标的辐射的测量相比可以具有减小的或最小化的光瞳相关性,因此,更易于根据所测量的辐射来确定所关注的图案化过程参数。在实施例中,所述非产品目标设计可以减小或最小化同一图案化过程参数的不同类型之间的串扰或不同种类的图案化过程参数之间的串扰。因而,可以获得更清楚的信号。所述非产品目标设计可以具有测量针对不具有用于束斑的对称单位单元的实例的器件图案的图案化过程参数的优点。因而,非产品目标设计可以使得能够将本文中描述的测量和确定技术扩展至比如逻辑存储器和/或高级存储器的应用,在这些应用中,所述器件图案可能不具有有用的对称单位单元的实例。非产品目标设计可以具有相对简化的结构,这可以例如使得更容易进行如本文所描述的建模。这可以使得更容易从单个目标分离和确定多于一个图案化过程参数类型。此外,非产品目标设计可以专门被配置成仅确定单个图案化过程参数类型或确定图案化过程参数类型的特定组合。
但非产品目标设计中的过度简化可能终止对图案化过程参数(例如,重叠)的关键贡献因素。为了降低这种风险,所述非产品目标设计应采用与器件产品图案大体上相同的过程步骤。此外,对所关注的所述图案化过程参数的主要贡献因素应被识别,从而使得其可以在所述非产品目标设计和/或相关联的建模中作为考虑因素计入。
因此,类似于产品上的目标设计,依据包括具有几何对称性的结构的单位单元来限定了非产品目标设计的实施例。在实施例中,对称性可以在第一方向(例如,X方向)上、在第二正交方向(例如,Y方向)上,或在这两个方向上。在实施例中,创建了所述单位单元,使得所述单位单元中的结构的实体配置的改变会造成对称性的破坏,所述对称性破坏引起特定的辐射分布,所述特定的辐射分布可以被处理以确定如以上描述的所关注的图案化过程参数的值。因而,所述单位单元有效地作为量测目标,并且在实施例中包含了用来提供信号以确定所关注的图案化过程参数的结构的最小区域。
在实施例中,所述非产品目标设计包括了在至少两个图案化过程(例如,同一类型的图案化过程的至少两次执行、不同类型的图案化过程的至少两次执行,等等)中所创建的结构。在多次图案化过程执行会引起供确定所关注图案化过程参数所针对的不同层中的结构的实施例中,所述非产品目标设计单位单元包括来自所关注的多个层中的每个层的结构。在所述图案化过程执行会引起供确定所关注图案化过程参数所针对的在同一层中的结构的实施例中,所述非产品目标设计单位单元包括来自所关注的适用的不同图案化过程执行中的每个图案化过程执行的结构。在实施例中,由第一图案化过程创建的第一结构和/或由第二图案化过程创建的第二结构不用来创建器件图案的功能方面。
因此,在实施例中并且就所述单位单元而言,来自多个图案化过程的结构一起形成所述单位单元的实例,并且所述单位单元在名义实体配置的情况下具有几何对称性,其中所述单位单元具有这样的特征:所述特征在与名义实体配置不同的实体配置的情况下由于例如在第一图案化过程、第二图案化过程和/或另一图案化过程中的图案放置的相对移位而造成所述单位单元的不对称性。这种特征的示例是响应于一个层中的结构相对于另一层中的结构的偏移而造成所述单位单元的不对称性。
在实施例中,所述非产品目标设计包括所述单位单元的重复。即,在实施例中,非产品目标的实体实例上的束斑将会对填充满所述束斑的所述单位单元的多个实例进行照射。在实施例中,所述非产品目标设计包括所述单位单元的至少4个实例、至少8个实例、至少10个实例、至少20个实例、至少40个实例、至少80个实例、至少100个实例、至少200个实例、至少400个实例、或至少1000个实例。
在实施例中,如产生在衬底上的所述非产品目标具有较小的大小。例如,所述非产品目标可以具有100平方微米或更小、50平方微米或更小、或25平方微米或更小的面积。在实施例中,所述非产品目标具有为10微米或更小、或5微米或更小的横向尺寸。在实施例中,用于所述非产品目标的束斑具有比所述目标的最大横向尺寸更小的横向尺寸。在实施例中,用于非产品目标的束斑具有为10微米或更小、5微米或更小、或2微米或更小的横向尺寸。在实施例中,用于非产品目标的束斑具有小于或等于100平方微米、50平方微米或更小、或25平方微米或更小的横截面积。在实施例中,非产品目标的单位单元具有为250,000平方纳米或更小、150,000平方纳米或更小、100,000平方纳米或更小、或50,000平方纳米或更小的面积。在实施例中,非产品目标的单位单元具有为500纳米或更小、300纳米或更小、200纳米或更小、或150纳米或更小的横向尺寸。在实施例中,非产品目标的单位单元具有比与所述非产品目标相关联的器件图案的单位单元的大小更小的大小。
在实施例中,所述单位单元包括与使用第一图案化过程来制造的器件的特征(例如,结构、空隙等等)相对应的特征(例如,结构、空隙(例如间隙)等等),和与使用第二图案化过程来制造的器件的特征(例如,结构、空隙等)相对应的特征(例如,结构、空隙等等)。例如,所述单位单元的结构是由创建器件的对应的器件特征的第一图案化过程而创建的,并且所述单位单元的另一结构是由创建所述器件的对应的器件特征的第二图案化过程而创建的。在实施例中,单位单元中所创建的一个或更多个特征共享了供所述单位单元特征正用于确定图案化过程参数所针对的器件中的特征的关键过程步骤。在实施例中,由相应的对应图案化过程而创建的所述单位单元的特征,与例如所述器件的在与所述单位单元的特征(例如线)基本上平行的方向上延伸或伸长的一个或更多个特征(例如结构,诸如线)相对应。因此,例如,所述单位单元包括:在Y方向上延伸的、可以用于确定所述器件中的在Y方向上延伸的对应结构的重叠的结构。
在实施例中,如下文所呈现的示例中进一步描述的,所述单位单元可以使得能够确定同一图案化过程参数(例如重叠)的多种不同类型。例如,单位单元使得能够确定重叠的2种或更多种类型、重叠的3种或更多种类型,等等。例如,除了在不同方向上(例如在X和Y上)的重叠的类型之外,所述单位单元也可以使得能够确定特征的不同组合之间的和/或层的不同组合之间的重叠。
在实施例中,所述单位单元的特征具有与器件的对应特征相当的或可比的尺寸(例如,宽度和/或间距)。相当的或可比的尺寸意味着相同的或在器件特征尺寸的±5%(即,器件特征尺寸的95%至105%)内、在器件特征尺寸的±10%内、在器件特征尺寸的±15%内、在器件特征尺寸的±20%内,或在器件特征尺寸的±25%内。在实施例中,一个或更多个单位单元特征的尺寸可以被选择成改善所述测量信号,并且因而不与所述器件图案的特征的对应尺寸匹配。这可以例如通过评估输出的信号对于所述目标特征的尺寸中的改变的灵敏度来完成,且因此,所述尺寸可以在特定情形下被选择为最大化信号或提供符合或跨越阈值的信号。
在实施例中,所述非产品目标可以与产品上的目标结合使用。例如,可以使用所述非产品目标来确定重叠,并且可以使用产品上的目标将结果前馈至所述重叠的确定。
参考图21,描绘了用于使用本文中描述的测量技术(例如权重和光瞳分布)来确定图案化过程参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,所述单位单元用于确定重叠。在图21A中,描绘了单位单元2000的示例。所述单位单元2000包括了在第一图案化过程中所创建的结构2010(在这种情况下,是多条线2010)和在第二图案化过程中所创建的结构2020(在这种情况下,是第二多条线2020)。锚点或锚2030被描绘为用以示出所述单位单元的对称性。在这种情况下,所述单位单元2000具有在Y方向上的对称性。图21A示出呈对称形式的所述单位单元,并且将会对应于某一名义重叠值(例如,零重叠)。
在实施例中,所述结构2010对应于使用所述第一图案化过程来制造的器件的特征。即,所述结构2010将要由创建器件的对应器件特征的第一图案化过程来创建。例如,所述结构2010的创建对应于在器件中相当地或可比地创建结构。类似地,在实施例中,所述结构2020对应于使用第二图案化过程来制造的器件的特征。即,所述结构2020将要由创建所述器件的对应器件特征的第二图案化过程来创建。例如,所述结构2020的创建对应于在所述器件中相当地或可比地创建结构。因此,在实施例中,所述结构2010对应于例如所述器件的在与结构2010的特征(例如线)基本上平行的方向上延伸的一个或更多个特征(例如结构,诸如线)。类似地,所述结构2020对应于例如器件的在与结构2020的特征(例如线)基本上平行的方向上延伸的一个或更多个特征(例如结构,诸如线)。在实施例中,所述结构2010被创建于与结构2020不同的层中。因此,在实施例中,在Y方向上延伸的结构2010和2020可以用于确定器件中的在Y方向上延伸的对应结构的重叠。
如上文提及的,在实施例中,所述结构2010和2020具有与器件的特征的宽度和/或间距相当的或可比的宽度和/或间距。例如,所述结构2010具有与在第一图案化过程中所创建的对应器件结构的特征的宽度和/或间距是相当的或可比的宽度和/或间距。类似地,例如,所述结构2020具有与在第二图案化过程中所创建的对应器件结构的特征的宽度和/或间距是相当的或可比的宽度和/或间距。
在单位单元2000中,将对于所述单位单元2000中的结构的不同的实体配置造成对称性破坏的特征是所述结构2010与所述结构2020之间的实体差异。在实施例中,所述差异是结构2010与2020在X方向上的宽度的差异,如图21A中示意性地所描绘的。在实施例中,所述差异是结构2010与2020的材料成份的差异,例如,结构2010由与结构2020不同的材料制成。在实施例中,可能存在实体差异的组合,例如宽度的差异和物理成份的差异的组合。
在单位单元2000的情况下的实体差异的结果是:在结构2010与2020之间的X-Y平面中在X方向上的相对移位2040造成所述单位单元2000的不对称性。这在图21B中描绘。在图21B中,当在第二图案化过程中创建结构2010时,结构2010从其在图21A中所示出的结构2010的名义(例如设计)位置移位。结果是从锚点2030的位移2050。因而,在假定所述单位单元2000对应于无重叠情形的情况下,位移2050对应于理想地通过处理由包括如上描述的单位单元2000的目标重新引导的辐射(例如权重和光瞳分布)而确定的重叠。
由于单位单元2000示出相对于Y轴的不对称性,则与引起不对称性的特征(这里是结构2010与2020之间的实体差异)相结合的在X方向上的平移会产生可以作为被用于确定X重叠值的依据的辐射分布。在实施例中,所述X重叠值将会对应于使用相应图案化过程来制造的器件的特征的X重叠。现在,当然,所述单位单元2000可以有效地围绕锚点2030旋转90度,以给出用于在结构2010与2020之间在Y方向上的相对移位的Y重叠值。在实施例中,所述Y重叠值将会对应于使用相应图案化过程来制造的器件的特征的Y重叠。在实施例中,在该情况下,与结构2010和2020对应的器件特征将会在X方向上延伸。
因此,在实施例中,所述单位单元的结构2010和2020对应于器件的在同一方向上延伸的相应特征。结果,单位单元2000的结构可以产生在与器件的特征的延伸/伸长方向正交的方向上的重叠值。因而,通过识别在同一方向上延伸的器件特征(针对所述器件特征,在正交方向上的重叠是所关注的),则所述单位单元2000可以被设计成通过适当地选择结构2010和2020且导致它们随着创建器件特征而被创建,来模仿所述重叠。
在图21中,所述单位单元2000被设计成主要确定在形成的结构(例如,线)自身之间的重叠。在一些图案化过程中,将特定的图案转印至衬底,所述衬底具有这样的结构:其使得当相对于所述图案执行蚀刻时所述结构的一部分被移除。这种过程及其结果将在本文中被称作切割。例如,可以将器件结构(例如线)切割成多个片和/或可以被切断的器件结构的端部部分。如应了解的,可能期望知晓是否已准确地制造了切口。因而,可能期望知晓切口之间的重叠和/或切口与结构之间的重叠。
此外,图21的所述单位单元使得能够确定在与器件的特征的延伸/伸长方向正交的方向上的重叠值。然而,可能期望确定在与器件的特征的延伸/伸长方向平行的方向上的重叠。
现在参考图22,描绘用于使用本文中描述的测量技术(例如权重和光瞳分布)来确定图案化过程参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,所述单位单元用于确定重叠。在图22A中,描绘了单位单元2100的示例。所述单位单元2100包括结构2110(在这种情况下,是多条线2110)和结构2120(在这种情况下,是第二多条线2120)。如下文将更详细地描述的,在这种实施例中,可以根据这种非产品目标设计来确定在X和Y上的重叠。
在这里的实施例中,所述单位单元2100具有图21的单位单元2000的特征,且因而,可以使得能够在如果第一图案化过程中创建结构2110、且在第二图案化过程中创建结构2120、且在结构2110与2120之间存在实体差异的情况下确定X方向重叠,如以上描述的。然而,如果例如X方向重叠不是期望的,则可以在同一图案化过程中创建结构2110和2120,和/或结构2110和2120可以具有相同的实体特性,即不具有实体差异。然而,即使X方向重叠不是期望的,结构2110和2120也可以具有不同的实体特性以提供更好的测量信号。
因此,在使得能够确定在X上和在Y上的重叠的这种实施例中,所述单位单元2100包括在第一图案化过程中创建的结构2110和在第二图案化过程中创建的结构2120。锚点2130被描绘为用以示出所述单位单元的对称性。在这种情况下,单位单元2100具有在Y方向上的对称性和在X方向上的对称性。图22A示出呈对称形式的单位单元,并且将会对应于某一名义重叠值(例如,零重叠)。
在实施例中,所述结构2110对应于使用如以上描述的第一图案化过程来制造的器件的特征,并且结构2120对应于使用第二图案化过程来制造的器件的特征。并且,在单位单元2100中,将针对所述单位单元2100中的结构的不同的实体配置造成对称性的破坏的特征是在结构2110与结构2120之间的实体差异。在实施例中,所述差是结构2110与2120在X方向上的宽度的差异,如图22A中示意性地所描绘的。在实施例中,所述差异是结构2110与2120的材料成份的差异,例如,结构2110是由与结构2120不同的材料制成。
如上文所论述的,在单位单元2100的情况下的实体差异的结果是:在结构2110与2120之间的X-Y平面中在X方向上的相对移位2180造成所述单位单元2100的不对称性。这在图22C中描绘。在图22C中,当结构2110在第二图案化过程中被创建时结构2110从其在图22A中所示出的结构2110的名义(例如设计)位置移位。结果是从锚点2130的位移2190。因而,在假定所述单位单元2100对应于无重叠情形的情况下,所述位移2190对应于理想地通过处理由包括如以上描述的单位单元2100的目标重新引导的辐射(例如,权重和光瞳分布)来确定的重叠。
由于单位单元2100示出相对于Y轴的不对称性,则与引起不对称性的特征(这里是结构2110与2120之间的实体差异)相结合的在X方向上的平移会产生可以作为被用于确定X重叠值的依据的辐射分布。在实施例中,所述X重叠值将会对应于使用相应图案化过程来制造的器件的特征的X重叠。现在,当然,所述单位单元2100可以有效地围绕锚点2130旋转90度,以给出用于在结构2110与2120之间在Y方向上的相对移位的Y重叠值。在实施例中,所述Y重叠值将会对应于使用相应图案化过程来制造的器件的特征的Y重叠。在实施例中,在该情况下,与结构2110和2120对应的器件特征将会在X方向上延伸。
现在,单位单元2100进一步使得能够确定在Y方向上的重叠。与非产品目标的单位单元中的结构可以如何对应于器件中的特征类似地,非产品目标设计中的切口可以对应于器件中的特征(例如,切口)。
参考图22A,所述单位单元2100包括在第一图案化过程中创建的切口2150和在第二图案化过程中创建的切口2140。所述切口2150和2140被布置以便维持呈名义实体配置的所述单位单元的对称性。
在实施例中,所述切口2150对应于使用第一图案化过程来制造的器件的特征。即,所述切口2150将要由创建器件的对应器件特征的第一图案化过程来创建。例如,切口2150的创建对应于在器件中相当地或可比地创建切口。类似地,在实施例中,所述切口2140对应于使用第二图案化过程来制造的器件的特征。即,所述切口2140将要由创建器件的对应器件特征的第二图案化过程来创建。例如,切口2140的创建对应于器件中相当地或可比地创建切口。因此,在实施例中,所述切口2150对应于例如器件的在与所述切口2150基本上平行的方向上延伸的一个或更多个特征(例如,一个或更多个切口)。类似地,所述切口2140对应于例如器件的在与所述切口2140基本上平行的方向上延伸的一个或更多个特征(例如,一个或更多个切口)。在实施例中,所述切口2150在与所述切口2140不同的层中被创建。因此,在实施例中,所述切口2150和2140可以用以确定所述器件中的对应切口在Y方向上的重叠。
在实施例中,所述切口2150和2140具有与器件的特征的宽度和/或间距是相当的或可比的宽度和/或间距。例如,所述切口2150具有与在所述第一图案化过程中所创建的对应器件结构的特征(例如一个或更多个切口)的宽度和/或间距是相当的或可比的宽度和/或间距。类似地,例如,所述切口2140具有与在所述第二图案化过程中所创建的对应器件结构的特征(例如一个或更多个切口)的宽度和/或间距是相当的或可比的宽度和/或间距。
在单位单元2100中,将对于所述单位单元2100中的结构的不同的实体配置造成对称性破坏的特征是所述切口2150和2140的布置,所述布置将在切口2150与2140之间的相对移位之后创建不对称性。在实施例中,在每个结构2120中制造切口2140,而不在每个结构2110中制造切口2150。如应了解的,可以在每个结构2110中制造切口2150,而不在每个结构2120中制造切口2140。如应了解的,就包括切口的不同部位和/或切口的不同大小的切口而言,许多不同变型是可能的。
切口2150和2140的布置的结果是:在切口2150与2140之间的X-Y平面中在Y方向上的相对移位2160造成所述单位单元2100的不对称性。这在图22B中描绘。在图22B中,当在第一图案化过程中创建切口2150时,切口2150从其在图22A中所示出的名义(例如,设计)位置移位。结果是从锚点2130的位移2170。因而,在假定所述单位单元2100对应于无重叠情形的情况下,所述位移2170对应于理想地通过处理由包括如以上描述的单位单元2100的目标重新引导的辐射(例如权重和光瞳分布)而确定的重叠。
由于单位单元2100示出相对于X轴的不对称性,则与引起不对称性的特征(这里是切口2140和2150的布置)相结合的在Y方向上的平移会产生可以作为被用于确定Y重叠值的依据的辐射分布。在实施例中,所述Y重叠值将会对应于使用相应图案化过程来制造的器件的特征的Y重叠。现在,当然,所述单位单元2100可以有效地围绕锚点2130旋转90度,以给出用于在切口2140与2150之间在X方向上的相对移位的X重叠值。在实施例中,所述X重叠值将会对应于使用相应图案化过程来制造的器件的特征(例如,切口)的X重叠。在实施例中,在该情况下,与切口2140和2150相对应的器件特征(例如,切口)将会在X方向上延伸。
因此,在实施例中,所述单位单元的切口2140和2150对应于器件的在同一方向上延伸的相应特征。结果,单位单元2100的结构可以产生在与器件的特征的延伸/伸长方向平行的方向上的重叠值。因而,通过识别在同一方向上延伸的器件特征(针对所述器件特征,在平行方向上的重叠是所关注的),则所述单位单元2100可以被设计成通过适当地选择切口2140和2150且导致它们随着创建器件特征而被创建,来模仿所述重叠。
如上文提及的,在实施例中,可以在结构2110和2120中以与在器件特征中制造切口相似的方式来创建切口2140和2150。因而,所述切口2140和2150可以给出在创建器件结构时所制造的切口的重叠的良好量度。但在实施例中,所述切口2140和2150可以代替地是当创建结构2110和2120时所创建的空隙,并且可以作为用以创建器件的结构的对应图案化过程的部分而被创建。因而,在这种情况下的空隙2140和2150可以给出在创建器件时所制造的结构的重叠的良好量度。
并且,虽然图22示出促进进行重叠的确定的切口/空隙,但所述结构2110和2120可以具有一个或更多个突起部或变形部,例如,所描绘的切口的多个部位处的突起部。因此,这些突起部或变形部之间的相对位移可能造成所述单位单元中的不对称性,与切口2140和2150非常相似。可以在创建所述结构2110和2120时创建所述突起部或变形部,或由切割过程来创建所述突起部或变形部。因而,所述突起部或变形部可以用于促进例如确定在器件结构之间(例如,针对当创建所述结构2110和2120时所创建的突起部或变形部)或在器件切口之间(例如,针对由切割结构2110和2120所创建的突起部或变形部)的重叠。
图22D示意性地描绘包括所述单位单元的多个实例的非产品目标。在这种非限制性示例中,图22D包括所述单位单元的至少4个实例。图22D示出呈对称形式的所述单位单元的实例,并且将会对应于某一名义重叠值(例如,零重叠)。在实施例中,结构2110的间距2192与结构2120的间距2194是相当的或可比的。
在图22D中,如果例如所述第二图案化过程在X方向上并非良好地对准从而引起了在结构2110与2120之间的相对移位,则Y对称性被破坏,并且所述光瞳中的Y对称性也被破坏。因而,在该条件下的所述目标的测量结果可以转换成X重叠确定结果。实际上,结构2110和2120用于确定X重叠。类似地,如果例如所述第二图案化过程在Y方向上并非良好地对准从而引起在切口2140与2150之间的相对移位,则X对称性被破坏,并且所述光瞳中的X对称性也被破坏。因而,在该条件下的所述目标的测量结果可以转换成Y重叠确定结果。实际上,所述切口2140和2150用以确定Y重叠。此外,如在图22D中所看到的,切口在Y方向上的移位不改变相对于Y轴的对称性,并且所述结构在X方向上的移位不改变相对于X轴的对称性。因而,使X方向重叠与Y方向重叠解耦。因此,虽然在X和Y方向上的被不良地对准的图案化过程的组合会引起被破坏的X和Y对称性,但可以使不同的重叠与信号分离。
在实施例中,结构的数目和结构的大小、间距等等可以被配置成与所述器件图案的所述图案化过程是紧密地相当的或可比的。类似地,切口(或突起部/变形部)的数目和所述切口(或突起部/变形部)的大小、间距等等可以被配置成与所述器件图案的所述图案化过程是紧密地相当的或可比的。例如,切口将与在可能时用于器件中的CD和间距是相当的或可比的。但在实施例中,切口的部位和/或数目适应于制造对称的单位单元。另外,可以通过调适结构和切口(或突起部/变形部)的间距来修整所述非产品目标重叠灵敏度。
参考图23,描绘了用于使用本文中所描述的测量技术(例如权重和光瞳分布)来确定图案化过程参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,所述单位单元用于确定重叠。在图23A中,描绘了单位单元2300的示例。所述单位单元2300包括结构2310(在这种情况下,是多条线2310)和结构2320(在这种情况下,是第二多条线2320)。不同于图21和图22,结构2310在大体上垂直于结构2320的方向上延伸。锚点2340被描绘为用以示出所述单位单元的对称性。在这种情况下,所述单位单元2300具有在Y方向上的对称性。图23A示出呈对称形式的单位单元,并且将会对应于某一名义重叠值(例如,零重叠)。
因此,在单位单元2300的这种实施例中,可以根据从这种单位单元的被重新引导的辐射来确定在第一方向上延伸的结构与在基本上正交于第一方向的第二方向上延伸的切口或结构之间的在X方向上的重叠。
特别地,类似于上文描述的原理,所述单位单元2300包括在第一图案化过程中所创建的结构2310,并且包括在第二图案化过程中所创建的切口2330和/或结构2320。在例如期望所述结构2310与所述切口2330之间的重叠的情况下,则在所述第一图案化过程中创建所述结构2310且在所述第二图案化过程中制造所述切口2330(可选地,也在所述第二图案化过程中创建所述结构2320)。在例如期望在结构2310与2320之间的重叠的情况下,则在所述第一图案化过程中创建所述结构2310、且在所述第二图案化过程中创建结构2320,其中空隙与例如切口2330是相当的或可比的。所述结构2310和2320以及所述切口2330被布置以便维持呈名义实体配置的所述单位单元的对称性。
类似于上文描述的实施例,所述结构2310对应于使用所述第一图案化过程来制造的器件的特征。即,所述结构2310将要由创建器件的对应器件特征的第一图案化过程来创建。例如,所述结构2310的创建对应于在器件中相当地创建结构。类似地,在实施例中,结构2320和/或切口2330对应于使用所述第二图案化过程来制造的器件的特征。即,所述结构2320和/或切口2330将要由创建器件的对应器件特征的第二图案化过程来创建。例如,所述切口2330的创建对应于在器件中相当地创建切口。因此,在实施例中,所述结构2310对应于例如所述器件的在与所述结构2310基本上平行的第一方向上延伸的一个或更多个特征(例如,一个或更多个结构)。类似地,所述结构2320和/或切口2330对应于例如所述器件的在基本上垂直于第一方向的第二方向上延伸的一个或更多个特征。在实施例中,所述结构2320和/或切口2330在与结构2310不同的层中被创建。因此,在实施例中,所述切口2330(或与结构2320的所述切口相当的或可比的空隙)可以用以确定在所述器件中的对应特征在X方向上的重叠。
在实施例中,所述结构2310和所述结构2320和/或切口2330具有与器件的特征的宽度和/或间距是相当的或可比的宽度和/或间距。例如,结构2310具有与在所述第一图案化过程中所创建的对应器件结构的特征(例如,一个或更多个结构)的宽度和/或间距是相当的或可比的宽度和/或间距。类似地,例如,所述结构2320和/或切口2330具有与在所述第二图案化过程中所创建的对应器件结构的特征的宽度和/或间距是相当的或可比的宽度和/或间距。
在单位单元2300中,将针对所述单位单元2300中的结构的不同的实体配置造成对称性破坏的特征是切口2330(或结构2320中的相当的或可比的空隙)的布置,所述布置将在结构2310与切口2330之间(或在结构2310与2320之间)的相对移位之后创建不对称性。如应了解的,就包括切口/空隙的不同部位和/或切口/空隙的不同大小的切口/空隙而言,许多不同变型是可能的。
与基本上垂直的结构2310和2320相结合的切口2330(或空隙2330)的布置的结果是:在结构2310与切口2330之间(或结构2310与2320之间,其中存在空隙)在X-Y平面中在X方向上的相对移位2350造成所述单位单元2300的不对称性。这在图23B中描绘。在图23B中,当在所述第二图案化过程中创建切口2330时,切口2330从其在图23A中所示出的名义(例如,设计)位置移位。结果是从锚点2340的位移2360。因而,在假定所述单位单元2300对应于无重叠情形的情况下,所述位移2360对应于理想地通过处理由包括如以上描述的单位单元2300的目标重新引导的辐射(例如,权重和光瞳分布)而确定的重叠。
由于单位单元2300示出相对于Y轴的不对称性,则与引起不对称性的特征(这里是与基本上垂直的结构2310和2320相结合的切口2330(或空隙2330)的布置)相结合的在X方向上的平移会产生可以作为被用于确定X重叠值的依据的辐射分布。在实施例中,所述X重叠值将会对应于使用相应图案化过程来制造的器件的特征的X重叠。
因此,在实施例中,所述单位单元的结构2310和结构2320和/或切口2330对应于器件的在同一方向上延伸的相应特征。结果,单位单元2300的结构可以产生针对在垂直方向上延伸或伸长的特征的重叠值。因而,通过识别在正交方向上延伸的器件特征(针对所述器件特征,在某一方向上的重叠是所关注的),则所述单位单元2300可以被设计成通过适当选择相对于正交结构2310和2320的切口2330(或空隙2330)且导致它们随着创建器件特征而被创建,来模仿所述重叠。
并且,虽然图23示出促进进行重叠的确定的切口/空隙,但所述结构2310和2320可以具有一个或更多个突起部或变形部,例如,所描绘的切口的多个部位处的突起部。因此,这些突起部或变形部之间的相对位移可能造成所述单位单元中的不对称性,与切口2330非常相似。可以在创建所述结构2310和2320时创建所述突起部或变形部,或由切割过程来创建所述突起部或变形部。因而,所述突起部或变形部可以用于促进例如器件结构之间(例如,针对当创建所述结构2310和2320时所创建的突起部或变形部)或在切口与结构之间的重叠的确定。
现在,当然,所述单位单元2300可以有效地围绕锚点2340旋转90度以给出针对在结构2310与结构2320和/或切口2330之间在Y方向上的相对移位的Y重叠值。在实施例中,所述Y重叠值将会对应于使用相应图案化过程来制造的器件的特征的Y重叠。
参考图24,描绘用于使用本文中描述的测量技术(例如权重和光瞳分布)来确定图案化过程参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,所述单位单元用于确定重叠。在图24A中,描绘了单位单元2400的示例。所述单位单元2400包括结构2410(在这种情况下,是多条线2410)和结构2420(在这种情况下,是第二多条线2420)。结构2410在大体上垂直于结构2420的方向上延伸。锚点2440被描绘为用以示出单位单元的对称性。在这种情况下,所述单位单元2400具有在X方向上的对称性。图24A示出呈对称形式的所述单位单元,并且将会对应于某一名义重叠值(例如,零重叠)。
因此,在单位单元2400的这种实施例中,可以根据来自这种单位单元的被重新引导的辐射来确定在第一方向上延伸的结构与在基本上正交于第一方向的第二方向上延伸的切口或结构之间的在Y方向上的重叠。
图24实际上为图23的反转布置。图23被设计成用于确定X方向重叠,而图24被设计成用于确定Y方向重叠;然而,类似于图23,可以使图24单位单元旋转90度以确定X方向重叠。但不同于图23,与在图24的实施例中的第二图案化过程相比,在第一图案化过程中创建了切口2430(或所创建结构2410的空隙2430)。
因此,在单位单元2400中,将针对所述单位单元2400中的结构的不同实体配置造成对称性破坏的特征是切口2430(或结构2410中的相当的或可比的空隙)的布置,所述布置将在所述切口2430与所述结构2420之间(或在结构2410与2420之间)的相对移位时创建不对称性。如应了解的,就包括切口/空隙的不同部位和/或切口/空隙的不同大小的切口/空隙而言,许多不同变型是可能的。
与基本上垂直的结构2410和2420相结合的切口2430(或空隙2430)的布置的结果是:在切口2430与结构2420之间(或在结构2410与2420之间(其中存在空隙))在X-Y平面中在Y方向上的相对移位2450造成所述单位单元2400的不对称性。这在图24B中加以描绘。在图24B中,当在第一图案化过程中创建切口2430时切口2430从其在图24A中所示出的名义(例如,设计)位置移位。结果是从锚点2440的位移2460。因而,在假定所述单位单元2300对应于无重叠情形的情况下,所述位移2460对应于理想地通过处理由包括如以上描述的单位单元2400的目标重新引导的辐射(例如,权重和光瞳分布)来确定的重叠。
由于单位单元2400示出相对于X轴的不对称性,则与引起不对称性的特征(这里是与基本上垂直的结构2410和2420相结合的切口2430(或空隙2430)的布置)相结合的在Y方向上的平移会产生可以用于确定Y重叠值的辐射分布。在实施例中,所述Y重叠值将会对应于使用相应图案化过程来制造的器件的特征的Y重叠。
并且,虽然图24示出促进进行重叠的确定的切口/空隙,但所述结构2410和2420可以具有一个或更多个突起部或变形部,例如,所描绘的切口的多个部位处的突起部。因此,这些突起部或变形部之间的相对位移可能造成所述单位单元中的不对称性,与切口2430非常相似。可以在创建所述结构2410和2420时创建所述突起部或变形部,或由切割过程来创建所述突起部或变形部。因而,所述突起部或变形部可以用以促进例如器件结构之间(例如,针对当创建所述结构2410和2420时所创建的突起部或变形部)或在切口与结构之间的重叠的确定。
现在,当然,所述单位单元2400可以有效地围绕锚点2440旋转90度以给出针对在结构2410和/或切口2430与结构2420之间的X方向上的相对移位的X重叠值。在实施例中,所述X重叠值将会对应于使用相应图案化过程来制造的器件的特征的X重叠。
参考图25,描绘用于使用本文中描述的测量技术(例如权重和光瞳分布)来确定图案化过程参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,所述单位单元用于确定重叠。在图25A中,描绘了单位单元2500的示例。所述单位单元2500包括在第一图案化过程中创建的结构2510(在这种情况下,是多条线2510)和在第二图案化过程中创建的结构2520(在这种情况下,是第二多条线2520)。结构2510在大体上平行于结构2520的方向上延伸。锚点2530被描绘为用以示出所述单位单元的对称性。在这种情况下,所述单位单元2500具有在Y方向上的对称性。图25A示出呈对称形式的所述单位单元,并且将会对应于某一名义重叠值(例如,零重叠)。
图25的非产品目标设计与图21的非产品目标设计是相当的或可比的。差异为:与设置在图20中的中心线2010相比,不设置中心线2510。这意味着单位单元2500和非产品目标涉及比图20更少的结构,这可以例如改善相关的建模。然而,这可能涉及线中的与器件中的对应特征相距的不同间距,例如,结构2520的线的间距可能需要与器件中的相当的或可比的线的间距不同。
在实施例中,结构2510包括至少两个子结构(例如线状结构)。替代地或另外,结构2520包括至少两个子结构(例如,线状结构)。这是为了能够启用足够的信号。这种原理可以应用至本文中描述的其它实施例。
类似于图21,造成对称性破坏的特征是结构2510与2520之间的实体差异,所述实体差异在所描绘实施例中是结构2510与2520的宽度之差。并且因此,类似于图21且如图25B中所示出的,结构2510与2520之间的相对移位2540引起在Y方向上的对称性的破坏。对称性的破坏引起使得能够确定相对位移2550的特定辐射分布。相对移位2550可以对应于对应器件特征的X方向重叠。
参考图26,描绘了用于使用本文中描述的测量技术(例如权重和光瞳分布)来确定图案化过程参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,所述单位单元用于确定重叠。在图26A中,描绘了单位单元2600的示例。所述单位单元2600包括在第一图案化过程中创建的结构2610(在这种情况下,是多条线2610)和在第二图案化过程中创建的结构2620(在这种情况下,是第二多条线2620)。结构2610在大体上平行于结构2620的方向上延伸。另外,结构2610包括由图案化过程来创建的切口2630,并且结构2620包括由图案化过程来创建的切口2640。锚点2650被描绘为用以示出所述单位单元的对称性。在这种情况下,所述单位单元2600具有在Y方向上的对称性和在X方向上的对称性。图26A示出呈对称形式的所述单位单元,并且将会对应于某一名义重叠值(例如,零重叠)。
图26的非产品目标设计在布局上以及在能够用于确定在X方向和Y方向上的重叠方面,与图22的非产品目标设计相当的或可比的。差异为:与设置于图20中的中心线2010相比,不设置中心线2610。这意味着所述单位单元2600和非产品目标涉及比图20更少的结构,这可以例如改善相关的建模。另外,切口2630和2640具有与在图22中不同的布置。切口的布置用以提供不对称性,但接着也使得能够在存在与所述切口有关的相对移位时破坏对称性。
由于图26的这种不同布置,所述设计可以涉及线中的与器件中的对应特征相距不同的间距,例如,结构2620的线的间距可能需要与器件中的相当的或可比的线的间距不同。
类似于图22,造成对称性破坏的特征是结构2610与2620之间的实体差异,所述实体差异在所描绘实施例中是结构2610与2620的宽度之差。并且因此,类似于图22且如图26C中所示出的,结构2610与2620之间的相对移位2670引起在Y方向上的对称性破坏。对称性的破坏引起使得能够确定相对位移2680的特定辐射分布。相对位移2680可以对应于对应器件特征的X方向重叠。
此外,类似于图22,造成对称性破坏的特征是切口2630和2640的布置。并且因此,类似于图22且如图26B中所示出的,切口2630与2640之间的相对移位2650引起在X方向上的对称性破坏。对称性的破坏引起使得能够确定相对位移2660的特定辐射分布。相对位移2660可以对应于对应器件特征的Y方向重叠。
参考图27,描绘了用于使用本文中描述的测量技术(例如权重和光瞳分布)来确定图案化过程参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,所述单位单元用于确定重叠。在图27A中,描绘了单位单元2700的示例。所述单位单元2700包括在第一图案化过程中创建的结构2710(在这种情况下,是多条线2710)、在第二图案化过程中创建的结构2720(在这种情况下,是第二多条线2720),和在第三图案化过程中创建的结构2730(在这种情况下,是第三多条线2730)。结构2710在大体上平行于结构2720的方向上延伸。此外,结构2730在大体上垂直于结构2710和2720的方向上延伸。此外,结构2710包括由图案化过程创建的切口2740和由图案化过程创建的切口2750。锚点2750被描绘为用以示出所述单位单元的对称性。在这种情况下,所述单位单元2700具有在Y方向上的对称性和在X方向上的对称性。图27A示出呈对称形式的单位单元,并且将会对应于某一名义重叠值(例如,零重叠)。
图27的非产品目标设计在布局上以及在能够用于确定在X方向和Y方向上的重叠方面,与图22的非产品目标设计是相当的或可比的。差异为:在第三图案化过程中设置另一结构2730。
由于这种布置,这种非产品目标可以使得能够例如确定跨越所述器件的多于2个层的特征之间的重叠;例如,这种非产品目标可以使得能够确定所述器件的第一层中的特征与所述器件的第二层中的特征之间的重叠、和所述器件的第一层中的特征与所述器件的第三层中的特征之间的重叠。
例如,如关于图22所描述的,在X方向上在结构2710与2720之间的移位可以使得能够确定对应于结构2710与2720的器件特征之间的X方向重叠。
但除了图22的布置以外,在Y方向上在切口2750与结构2730之间的移位也可以使得能够确定与切口2750和结构2730相对应的器件特征之间的Y方向重叠。并且在这种实施例中,结构2730可以处于与结构2710和2720不同的层中。
造成关于结构2730的对称性破坏的特征是切口2750相对于结构2730的布置。并且因此,如图27B中所示出的,结构2730与切口2750之间的相对移位2760引起在X方向上的对称性破坏。对称性的破坏引起使得能够确定相对位移2770的特定辐射分布。相对位移2670可以对应于对应器件特征的Y方向重叠。
因而,图27表示使得能够测量3个不同的过程步骤之间的重叠的组合式的目标。所述目标能够实现例如第1层特征至第2层特征重叠测量(在X方向上)和第1层特征至第3层特征重叠测量(在Y方向上)。当然,在实施例中,可以将图27的目标分离成离散目标(例如,具有结构2710和2720以及切口2740以用于第1层特征至第2层特征重叠测量的一目标,和具有结构2710和2730以及切口2740以用于第1层特征至第3层特征重叠测量的另一目标)以提供每层对一个目标,而不是如图27中所示出的组合式的目标。
参考图28,描绘了用于使用本文中描述的测量技术(例如权重和光瞳分布)来确定图案化过程参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,所述单位单元用于确定重叠。在图28A中,描绘了单位单元2800的示例。单位单元2800包括在第一图案化过程中创建的结构2810(在这种情况下,是多个闭合曲线2810,例如基本上圆形或椭圆形的曲线),和在第二图案化过程中创建的结构2820(在这种情况下,是第二多个闭合曲线2820,例如基本上圆形或椭圆形的曲线)。结构2810在大体上平行于结构2820的方向上延伸。在这种情况下,所述单位单元2800具有在Y方向上的对称性和在X方向上的对称性。图28A示出呈对称形式的单位单元,并且将会对应于某一名义重叠值(例如,零重叠)。
在这种布置中,如图28B中所示出的,结构2810与2820之间的相对移位2830引起在Y方向上的对称性破坏。对称性的破坏引起使得能够确定相对位移2840的特定辐射分布。所述相对位移2840可以对应于对应器件特征的X方向重叠。
另外,在这种配置中,如图28C中所示出的,结构2810与2820之间的相对移位2850引起在X方向上的对称性破坏。对称性的破坏引起使得能够确定相对位移2860的特定辐射分布。所述相对位移2860可以对应于对应器件特征的Y方向重叠。
造成对称性破坏的特征是结构2810的相对于结构2820的交错布置。虽然在这种实施例中,结构2810被描绘为具有与结构2820不同的宽度,但在结构2810与2820呈如所示出的交错布置的情况下无需具有这种差异。否则,如果结构2810和2820并不呈交错布置,则实体差异(例如不同宽度、不同材料等)可以用于破坏对称性。
可以将来自图21至图28的特征的不同组合组合至单位单元中,以使得能够确定参数的多种类型(例如X方向重叠和Y方向重叠、器件的特征的不同组合之间的重叠等)。在实施例中,可以针对参数的单个类型而创建单独的目标(例如,针对X方向重叠的目标和针对Y方向重叠的单独的目标、针对特征的第一组合之间的重叠的目标和针对特征的第二组合之间的重叠的单独的目标,等等),或可以创建多个目标以确定参数类型的组合。
现在参考图29,图29A示意性地描绘器件图案特征的示例。例如,器件图案特征可以用于存储器装置(例如,SRAM)。如应了解的,完整的存储器装置将会很可能在所描绘区域中具有较多的特征。然而,可能期望确定图29A中所描绘的器件图案特征的某一组合的重叠。这种重叠可以用于图案化过程控制、图案化过程中的缺陷预测等等,如本文中更详细地论述的。
在图29A中,所述器件图案包括大体上彼此平行地延伸的多条线特征2900。另外,所述器件图案包括大体上彼此平行地延伸且与线特征2900交错的多条线特征2910。在如下文进一步描述的多重图案化过程的示例实施例中,首先创建特征2900且接着此后由于例如分辨率极限而创建特征2910。
此外,期望具有例如沿着线特征2900的多个片段。因此,在多重图案化过程中,这些片段可以由如以上描述的切口来创建。因此,所述器件图案包括相对于线特征2900的多个切口特征2920。此外,所述器件图案包括相对于线特征2910的多个切口特征2930。
可以接着由多个光刻-蚀刻(LE)过程来创建所述器件图案特征。图29B、图29C、图29D和图29E示意性地描绘了器件多重图案化方法的步骤的示例。在图29B中,创建了多条线特征2900。接着,在图29C中,将切口2920施加至特征2900,以得到如图29A中所示出的经分段的线特征2900。
在图29D中,创建了多条线特征2910,其中在所述线特征2900之间以交错方式创建了所述多条线特征2910。接着,在图29E中,将切口2930施加至特征2910,以得到如图29A中所示出的分段后的线特征2910。
因而,可能期望确定切口2920与切口2930的创建之间的重叠。或者,可能期望确定结构2900与2910之间的重叠。因此,如应了解的,可能存在可以期望地被确定且接着被监控、被控制等等的多种不同重叠。
因而,识别了所关注的层,并且识别了应被确定的重叠(例如在X方向上的重叠、在Y方向上的重叠,或在X方向和Y方向的重叠两者)。在这个示例中,可能期望确定结构2900与2910之间的X方向重叠并且确定切口2920与2930之间的Y方向重叠。
因此,在器件内具有一个或更多个所关注的特定的重叠的情况下,非产品目标可以被设计成帮助确定该重叠。在图29A的所述器件特征的情况下,可以用与所关注的层相当的间距和CD来创建结构的线空间图案。图29F示意性地描绘了非产品目标设计的这些结构的示例。在这种情况下,例如,将会在与结构2900相同的图案化过程中创建结构2940,并且将在与结构2910相同的图案化过程中创建结构2950。如上文关于图21至图28所论述的,可以当创建结构2940和2950时在结构2940与2950之间提供实体差异以实现在X方向上的相对移位,从而造成对称性破坏以能够实现X方向重叠确定。由于结构2940和2950有效地用作结构2900和2910的代替物,因此在该条件下根据由非产品目标重新引导的辐射进行的在X方向上在结构2940与2950之间的相对位移的确定可以对应于针对结构2900和2910的X方向重叠。
此外,参考图29G,将一个或更多个切口引入至所述非产品目标设计中的图29F的结构,以能够实现确定Y方向重叠。为了能够实现这种确定,限定了单位单元2960。如所见的,所述单位单元具有结构2940和2950并且具有由结构2940与2950在X方向上的相对位移所破坏的Y对称性。因此,为了能够实现Y方向重叠确定,当在Y方向上存在相对位移时,引入了特征以创建在X方向上的不对称性。如上文提及的,期望确定在切口2920与2930之间在Y方向上的重叠。因此,分别将相当的或可比的切口引入至结构2940和2950,这是由于切口2920和2930分别移除结构2900和2910的部分。在这种实施例中,那些切口是切口2970和2980。切口2970和2980创建了用以确定由于在它们的创建期间在切口2970与2980之间的相对移位而引起的Y方向重叠的参考。切口2970和2980有效地用作切口2920和2930的代替物,且因此,在该条件下根据由非产品目标重新引导的辐射进行的在Y方向上在切口2970与2980之间的相对位移的确定可以对应于针对切口2920和2930的Y方向重叠。
在实施例中,切口2970和2980是这样:它们使得单位单元在名义配置的情况下在X方向上是对称的。此外,在实施例中,所述切口使得它们不影响所述单位单元在如以上描述的X重叠确定方面的对称性。在实施例中,切口2970和2980具有与当可能时的器件图案化过程中的切口相当的或可比的CD和间距。然而,切口的大小、数目和部位可以被调适以制造对称单位单元。在实施例中,如图29G中所示出的,将所述单位单元作为多个实例来重复以形成用于在衬底上的创建的非产品目标。
因此,在这种实施例中,在名义配置的情况下,所述单位单元2960具有X对称性和Y对称性两者。此外,特征之间的在Y方向上的相对移位引起所述单位单元中的X对称性的破坏(而保留了Y对称性)使得可以确定Y方向重叠。此外,特征之间的在X方向上的相对移位引起所述单位单元中的Y对称性的破坏(而保留了X对称性)使得可以确定X方向重叠。
现在参考图30,图30A示意性地描绘了器件图案特征的另一示例。例如,所述器件图案特征可以用于存储器装置(例如,SRAM)。如应了解的,完整存储器装置在所描绘区域中将会很可能具有较多的特征。然而,可能期望确定图30A中所描绘的所述器件图案特征的某一组合的重叠。这种重叠可以用于图案化过程控制、图案化过程中的缺陷预测等等,如本文中更详细地论述的。
在图30A中,所述器件图案包括大体上彼此平行地延伸的多条线特征3000。此外,所述器件图案包括大体上彼此平行地延伸且基本上垂直于线特征3000的多条线特征3010。在如下文进一步描述的多重图案化过程的示例实施例中,首先创建所述特征3010且接着此后创建所述特征3000。
此外,期望具有例如沿着线特征3000的多个片段。因此,在多重图案化过程中,这些片段可以由如以上描述的切口来创建。因此,所述器件图案包括相对于线特征3000的多个切口特征3020。可以接着由不同于但类似于关于图29B至图29E描述的光刻-蚀刻(LE)过程的多个光刻-蚀刻(LE)过程来创建所述器件图案特征。
因而,可能期望确定结构3000与3010之间的重叠。或者,可能期望确定切口3020与结构3010的创建之间的重叠。因而,如应了解的,可能存在可以期望地被确定且接着被监控、被控制等等的多种不同重叠。
因此,识别了所关注的层,并且识别了应被确定的重叠(例如在X方向上的重叠、在Y方向上的重叠,或在X方向和Y方向的重叠两者)。在这个示例中,可需要确定结构3010与切口3020之间的Y方向重叠。
因此,在器件内具有一个或更多个所关注的特定的重叠的情况下,非产品目标可以被设计成帮助确定该重叠。在图30A的器件特征的情况下,可以用与所关注的层相当的或可比的间距和CD来创建结构的线空间图案。图30C中示意性地描绘了非产品目标设计的这些结构的示例。在这种情况下,例如,将会在与结构3010相同的图案化过程中创建结构3040,并且将会在与结构3000相同的图案化过程中创建结构3030。如上文关于图24所论述的,可以设置切口以确定切口与基本上垂直的结构之间的Y方向重叠。即,切口可以在创建切口与结构时实现所述切口与所述结构之间的在Y方向上的相对移位,造成对称性破坏以实现Y方向重叠确定。
因此,参考图30C,将一个或更多个切口引入至非产品目标设计中的图30B的结构,以使得能够确定Y方向重叠。为了能够实现这种确定,限定了单位单元3050。如所见的,搜索单位单元具有结构3030和3040。此外,搜索单位单元在结构3030中具有切口3060。所述切口使得X对称性由在Y方向上的切口3060与结构3040的创建之间的相对位移而破坏。因而,切口3060使得能够当切口3060与结构3040之间在Y方向上存在相对位移时在X方向上创建对称性。切口3060创建了用以确定由于在切口3060与结构3040的创建期间在所述切口3060与所述结构3040之间的相对移位而引起的Y方向重叠的参考。由于切口3060和结构3040用作结构3010和切口3020的代替物,因此在相对位移条件下根据由非产品目标重新引导的辐射进行的在Y方向上在切口3060与结构3040之间的相对移位的确定可以对应于结构3010与切口3020之间的Y方向重叠。
在实施例中,切口3060是这样的:其使得搜索单位单元在名义配置的情况下在X方向上是对称的。此外,在实施例中,切口3060使得其不影响所述单位单元在Y方向上的对称性。在实施例中,切口3060具有与在可能时的器件图案化过程中的切口3020相当的或可比的CD和间距。然而,切口的大小、数目和部位可以被调适以制造对称单位单元。在实施例中,如图30C中所示出的,将单位单元作为多个实例而重复以形成用于创建在衬底上的非产品目标。
因此,在这种实施例中,在名义配置的情况下,所述单位单元3060具有X对称性和Y对称性两者。此外,特征之间的在Y方向上的相对移位引起所述单位单元中的X对称性的破坏(而保留了Y对称性)使得可以确定Y方向重叠。
参考图31,示意性地描绘了用以设计非产品目标的方法的实施例。虽然描述了若干步骤,但并不期望全部步骤。因而,在实施例中,可以选择步骤的子组合。此外,可以重新布置所述步骤(或步骤的子组合)的次序。此外,在创建非产品目标设计以用于确定重叠(或从这种目标的结果导出的任何其它参数)方面来描述所述设计方法。然而,所述方法可以被扩展至一个或更多个其它参数。
在3100处,在非产品目标布局设计过程中设计一个或更多个非产品目标。所述一个或更多个非产品目标设计可以是本文中描述的非产品目标设计中的任一个或更多个非产品目标设计。在实施例中,可以使用设计如本文中描述的非产品目标设计的一种或更多种技术。在实施例中,非产品目标布局设计过程主要确定所述非产品目标的所述单位单元的几何形状(并且因而确定所述非产品目标的几何形状)。
在实施例中,非产品目标布局设计过程涉及评估器件图案以识别所关注的重叠。常常存在特征和/或层的多个组合,尤其在利用LELE处理的情况下,以用于重叠的评估。因此,可能期望确定特征和/或层的一个或更多个重叠临界组合。
利用依据正在被评估的特征/层和一个或更多个方向(例如X、Y或X和Y)所识别的一个或更多个重叠,则可以创建重复图案(例如线空间图案、诸如图28中的闭合曲线的阵列)。在实施例中,所述重复图案具有与来自器件图案的所关注的特征/层相当的或可比的间距和/或CD。
接着,取决于器件图案和待测量的重叠,可以使用本文中描述的技术中的一种或更多种技术来创建所述非产品目标设计的单位单元的几何形状。例如,在所关注的特征是平行的(例如Y方向)且期望在X方向上的重叠的情况下,则可以创建诸如图21中的目标,或目标可能合并来自图21的设计特征,以使得能够确定这种重叠。在例如所关注的特征是平行的(例如Y方向)且期望在Y方向上的重叠的情况下,则可以创建合并有图22中的切口/突起部布置的目标,或目标可能合并来自图22的设计特征,以使得能够确定这种重叠。在例如所关注的特征是垂直的且期望在X方向上的重叠的情况下,则可以创建诸如图23中的目标,或目标可能合并来自图23的设计特征,以使得能够确定这种重叠。在例如所关注的特征是垂直的并且应测量在Y方向上的重叠的情况下,则可以创建诸如图24中的目标,或目标可能合并来自图24的设计特征,以使得能够确定这种重叠。
在适当时且在许多情况下,线空间图案的在多条线上的切口/突起部可以用作用以破坏在X和/或Y方向上的对称性的手段,以使得能够确定相应重叠。在实施例中,切口/突起部在CD和/或间距方面是与器件图案中的相关联的特征相当的或可比的。但在实施例中,切口/突起部的部位应使得所述单位单元在名义配置的情况下是对称的。在实施例中,切口/突起部和/或所述单位单元的结构被选择成使得所述单位单元尽可能小。
在实施例中,目标不需要确切地遵循所述器件的所有过程步骤(例如,如果例如器件的一个或更多个过程步骤难以建模,则可以在形成所述目标时略过那些步骤)。然而,器件与目标之间的过程差异应不影响针对处于考虑中的特征/层的重叠。
当期望在X方向上的重叠和在Y方向上的重叠两者来自同一目标时,所述切口的竖直移位应不改变相对于Y轴的对称性,并且所述结构的水平移位应不改变相对于X轴的对称性。这帮助确保在根据来自目标的被重新引导的辐射进行X方向重叠和Y方向重叠的确定时将所述X方向重叠与所述Y方向重叠解耦。
在实施例中,如果利用LELE来处理所述层中的一个层,则可以使用不同目标以将来自光刻步骤中的每个光刻步骤的重叠解耦。如果利用LELE处理两个层,则可以使用例如四个目标。
在实施例中,在目标的重叠灵敏度允许它的情况下,可以在同一目标(例如与图27中的目标类似的目标)中将多于两个层之间的重叠进行组合。这将会更节省空间,但可由于例如建模中的串扰或不准确度而存在准确度损失,所述串扰或不准确度是由于目标的较高的复杂度而产生。
在实施例中,所述目标应具有间隙区域和图案化区域,图案化区域具有密度与器件的密度相似的图案。在实施例中,围绕所述目标的间隙区域和图案化区域可以是例如至少0.2μm间隙区域和/或至少2μm图案化区域。
利用名义目标设计,可以执行各个评估步骤以调谐所述名义目标设计、确定所述名义目标设计是否将是合适的和/或设定或改变用以测量所述目标的测量参数(例如诸如用于照射所述目标的测量辐射的波长、偏振、入射角等的参数)。因此,例如,除了用以满足器件特征的所述重叠行为的目标的设计之外,所述目标的设计也可以鉴于可印制性(例如目标将要作为图案化过程的部分而被创建的能力)、可检测性(例如由所述目标产生信号的良好程度)、稳固性即鲁棒性(例如所述目标对于在图案化过程中发生的变化的稳定程度)和/或器件匹配(例如所述器件的重叠如何表示从所述目标确定重叠)来分析。
因而,在3110处,可以执行器件匹配以确定出从目标测量的重叠表示所述器件的重叠。这可以通过使用模器或数学模型来执行,以确定器件的经模拟的或经建模的所关注的重叠是否与目标设计的对应模拟的或建模的所关注的重叠相匹配(例如,在阈值内)。在实施例中,可以针对图案化过程的光刻步骤来执行所述匹配(例如,场内匹配)。在实施例中,可以针对图案化过程的蚀刻步骤来执行所述匹配(例如,场间匹配)。如果不存在充分的匹配,则可以例如放弃或修改所述目标设计(其中所述修改可以包括目标的特征的间距的改变、目标的特征的CD的改变、目标的结构的材料改变,等等)和/或可以设定或修改测量参数。
在3120处,可以执行可检测性评估以确定由所述目标设计创建信号的良好程度。这可以通过使用模拟器或数学模型来执行以根据所述目标设计确定预期信号并且确定所述预期信号是否满足阈值。在实施例中,这可以涉及评估所述目标对于重叠的灵敏度,诸如如本文中所论述的多种灵敏度中的任一灵敏度(例如,雅可比矩阵)。在实施例中,所述评估可以考虑所述目标设计的光瞳强度(例如,光瞳强度的均方根)、叠层灵敏度和/或衍射效率,且相对于阈值来评估它。如果不存在充分的匹配,则可以例如放弃或修改所述目标设计(其中所述修改可以包括所述目标的特征的间距的改变、所述目标的特征的CD的改变、所述目标的结构的材料的改变,等等)和/或可以设定或修改测量参数。在实施例中,利用步骤3110和3120来执行迭代直至满足相应阈值为止。
在3130处,可以执行可印制性评估以确定作为图案化过程的部分来创建所述目标的可行性。这可以通过使用模拟器或数学模型来执行以确定所述目标设计是否将充分地被创建在衬底上(例如,跨越或满足阈值)。如果不存在充分的可印制性,则可以例如放弃或修改目标设计(其中所述修改可以包括所述目标的特征的间距改变、所述目标的特征的CD改变、所述目标的结构的材料改变,等等)和/或可以设定或修改测量参数。
在3140处,可以执行稳固性评估以确定所述目标对于图案化过程中出现的变化的稳定程度。这可以通过使用模拟器或数学模型来执行,以确定所述目标设计是否将对图案化过程中出现的变化敏感(例如,跨越或满足阈值)且因而创建不准确的结果。例如,所述评估可以通过例如在模拟器或模型中引入扰动来确定所述目标结果对于过程扰动的正交性。如果不存在充分的稳固性,则可以例如放弃或修改所述目标设计(其中所述修改可以包括所述目标的特征的间距的改变、所述目标的特征的CD的改变、所述目标的结构的材料的改变,等等)和/或可以设定或修改测量参数。
在3150处,可以由图案化过程创建目标以用于目标的验证。印制所述目标的图案化过程可以被设定用以引发对目标的各个已知的重叠,并且接着可以使用本文中的技术所测量目标以确定重叠。可以接着将所设定的重叠与所获得的重叠进行比较。如果不存在充分的匹配(例如跨越或满足阈值),则可以例如放弃或修改所述目标设计(其中所述修改可以包括所述目标的特征的间距的改变、所述目标的特征的CD的改变、所述目标的结构的材料的改变,等等)和/或可以设定或修改测量参数。
如上文所描述的,一个或更多个“设定-得到”的衬底用于获得用以设置、监控和/或校正测量选配方案(例如非线性方程式的权重、参数等)的信息,以用于确定使用来自目标的所测量的光学特性来确定图案化过程参数,所述目标包括在名义实体配置的情况下具有几何对称性的结构,并且其中所述结构的与名义实体配置不同的实体配置造成所测量的光学特性中的不对称光学特性分布,并且图案化过程参数与所述实体配置的改变相关。例如,为了设置这种测量选配方案,所述设置过程(诸如与图11、图13、图14、图15、图16和/或图17相关联地描述的过程)涉及使用特定的图案化过程、利用用于存在于所检查的衬底层中的图案化过程参数(例如重叠)的图案转印设定的多个组合,来处理一个或更多个“设定-得到”的实验设计(DoE)衬底。例如,在针对重叠的实施例中,可以在一个或更多个“设定-得到”的衬底上创建具有多个“设定”的不同重叠值(例如由所述衬底与所述目标预期在无重叠误差的情况下待被传递的位置处远离的有意位移)的所述目标的实例,并且接着执行测量选配方案设置过程以期望地得到测量选配方案,所述测量选配方案将会利用“设定”的重叠来确定(“得到”)针对特定目标实例的“设定”的重叠。
然而,“设定-得到”的衬底的使用可能具有一个或更多个限制。例如,用以设置所述测量选配方案的“设定-得到”的衬底的使用可能需要用以创建“设定-得到”的衬底的特殊工序。例如,可能会损失所述光刻设备(和其它图案化过程设备)的生产时间。此外,不论何时所述图案化过程发生改变或已发生改变,都将会需要新“设定-得到”的衬底,这可能意味着损失生产时间。此外,“设定-得到”的衬底过程不能够有效地检测当在实践中使用所述测量选配方案时所述测量选配方案执行的良好程度、或所述测量选配方案偏离的程度(例如执行稳固性评估),这是由于“设定-得到”的衬底与生产过程是分离的。
因此,在实施例中,提供一种用于所述测量选配方案的设置、监控和/或校正的目标(本文中出于方便起见被称作SMC目标)。特别地,可以与本文中描述的其它目标类似地来以光学方式测量所述SMC目标,并且可以用本文中描述的各种技术中的任一技术来处理所检测的光学特性,以用于设置、监控和/或校正用以确定图案化过程参数的所述测量选配方案,所述测量选配方案基于由结构重新引导的辐射的所检测的表示来测量所述结构的实体配置的改变,其中所述结构在名义实体配置的情况下具有几何对称性,并且所述结构的与名义实体配置不同的实体配置造成不对称光学特性分布。虽然本文中的论述的焦点涉及使用所述SMC目标来设置、监控和/或校正另一测量目标的测量选配方案,但在实施例中,所述SMC目标可以用作规则的测量目标且具有其自身的测量选配方案。
在实施例中,所述SMC目标用于设置所述测量选配方案。在实施例中,可以在不必创建一个或更多个“设定-得到”的衬底的情况下使用所述SMC目标。然而,在实施例中,所述SMC目标可以用于“设定-得到”过程中,即被创建在“设定-得到”的衬底上。在其中所述测量选配方案用于产品目标(即,由器件图案特征形成的目标)的测量的实施例中,所述测量选配方案设置首先可以使用从所述SMC目标的光学测量结果导出的一个或更多个值以用于几何形状和误差调节,并且接着可以基于来自所述产品目标的测量结果来改进所述测量选配方案。在实施例中,可以在生产衬底的处理期间基于来自一个或更多个生产衬底的产品目标的测量结果来完成改进。
在实施例中,所述SMC目标用于监控测量选配方案性能(例如监控其稳固性)。例如,所述SMC目标可以用以依据其用以测量的所述图案化过程参数(例如重叠)来监控所述测量选配方案,诸如所述图案化过程参数对于变化的稳固性即鲁棒性。另外地或替代地,所述SMC目标可以用于依据在用以计算所述测量选配方案的目标结构实体特性参数(例如,CD、和/或在用以确定所述测量选配方案的模型中所使用的一个或更多个目标结构轮廓参数)来监控所述测量选配方案,诸如所述目标结构实体特性对于变化的稳固性。即,在实施例中,所述SMC目标具有用以引入已知的图案化过程参数(例如重叠)变化和/或已知的目标结构实体特性参数(例如CD和/或轮廓参数)变化的配置,其可以用作针对使用所述测量选配方案或在确定所述测量选配方案的过程中所获得的图案化过程参数和/或目标结构实体特性参数的推断值的交叉检查。因此,在实施例中,所述SMC目标可以用于监控所述测量选配方案执行的良好程度或测量选配方案偏离的程度(例如执行稳固性评估),并且接着可以基于所述结果来调整或重新设置所述测量选配方案。在实施例中,在图案化过程的执行期间将所述SMC目标提供至生产衬底,因此使得能够在运行时监控所述测量选配方案执行的良好程度或所述测量选配方案偏离的程度。
在实施例中,所述SMC目标用于所述测量选配方案的校正;即,所述SMC目标的测量的结果可以用以对用于测量所述目标实例以确定所述图案化过程参数的测量选配方案进行调整。例如,可以使用以上描述的监控的结果来完成所述校正。在实施例中,在生产衬底上设置所述SMC目标以能够实现“在运行时”使用脱机即离线(例如在生产之前或之后)或在线(例如在用以使修改后的测量选配方案能够用于生产的后续生产衬底的生产期间)进行校正。在实施例中,所述SMC目标可以用以当所述图案化过程已发生改变(例如由于有意修改、或由于不受控制的过程变化)时校正(例如在运行时)所述测量选配方案。例如,使用所述测量选配方案所获得的推断的图案化过程参数值与由所述SMC目标提供的所述图案化过程参数的已知的设定值之间的误差可以用以更新用于推断所述图案化过程参数的所述测量选配方案(例如加权方案)。以类似的方式,可以针对目标结构实体特性参数(例如CD或目标结构轮廓参数)以类似的方式确定误差(其中所述SMC目标具有用以引入已知的目标结构实体特性参数(例如CD和/或轮廓参数)变化的配置),使得可以根据需要校正测量选配方案确定过程。
如上文所描述的,在实施例中,所述SMC目标可以用以引入与所述目标的实体配置的不对称性相关的图案化过程参数(诸如重叠)的已知的变化的集合,并且,具备与所述目标的实体配置的不对称性相关的图案化过程参数的已知变化的所述SMC目标可以在测量选配方案设置、监控、校正等之中使用。另外地或替代地,在实施例中,所述SMC目标可以用于引入与所述目标的实体配置的对称改变相关的目标结构实体特性参数(诸如CD、高度等,其通常可以被称作目标结构轮廓参数)的已知的变化的集合,并且,具备与所述目标的实体配置的对称改变相关的目标结构实体特性参数的已知变化的所述SMC目标可以在测量选配方案设置、监控、校正等之中使用。例如,与所述目标的所述实体配置的对称改变相关的所测量的目标结构实体特性参数(诸如CD、高度等)可以用以实现针对用于与所述目标的实体配置的不对称性相关的所述图案化过程参数的测量选配方案的设置的恰当模型选择。在实施例中,所述SMC目标可以用以引入与所述目标的实体配置的对称改变相关的目标结构实体特性参数(例如CD)的已知的变化的集合,且引入与所述目标的实体配置的不对称性相关的图案化过程参数(例如OV)的已知的变化的集合。
在实施例中,如上文所描述的,所述SMC目标可以提供用以评估各个参数对于变化的稳固性的能力。例如,所述SMC目标可以提供用以评估对于与所述目标的实体配置的不对称性相关的图案化过程参数(诸如重叠)的变化的稳固性的能力。例如,所述SMC目标可以提供用以评估与所述目标的实体配置的对称改变相关的目标结构实体特性参数(例如CD或其它目标结构轮廓参数)的稳固性的能力。作为另一示例,所述SMC目标可以提供用以评估与所述目标的实体配置的不对称性相关的图案化过程参数(诸如重叠)对于与所述目标的实体配置的对称改变相关的目标结构实体特性参数(例如CD或其它目标结构轮廓参数)的变化的稳固性的能力。
在实施例中,可以将所述SMC目标提供至使用图案化过程所创建的全部或大部分衬底,所述图案化过程使用所述测量选配方案的输出来加以控制,以能够实现所述测量选配方案的增强的校正。例如,将所述SMC目标提供至使用图案化过程所创建的全部或大部分衬底可以使得能够实现在运行中且连续的改善和/或所述测量选配方案的校正。
现在,描述SMC目标的实施例。通常,所述SMC目标提供用于测量选配方案的设置、监控校正等的DoE类型布置。所述SMC目标提供其内的目标的多个版本,这些版本在本文中出于方便起见被称作子目标。在实施例中,子目标可以是如本发明描述的产品目标或非产品目标。例如,所述子目标可以例如呈关于图10、图18、图19、图21、图22、图23、图24、图25、图26、图27、图28、图29和图30所描述的形式。
出于方便起见,将依据作为与正被评估的目标的实体配置的不对称性相关的图案化过程参数的重叠来描述所述SMC目标的实施例。然而应了解,可以使用所述SMC目标的子目标来评估与所述目标的实体配置的不对称性相关的不同图案化过程参数。类似地,出于方便起见,将依据作为与正被评估的所述目标的实体配置的对称改变相关的目标结构实体特性参数的CD,来描述所述SMC目标的实施例。然而应了解,可以使用所述SMC目标的子目标来评估与所述目标的实体配置的对称改变相关的不同目标结构实体特性参数。当然,如上文所描述的,所述SMC目标可以仅评估针对测量选配方案的设置、监控、校正等的重叠,或仅评估针对测量选配方案的设置、监控、校正等的CD,或评估这种两者。
因而,在实施例中且在由所述测量选配方案测量并且使用所述SMC目标评估的重叠参数的情境下,所述SMC目标的子目标可以通过多个图案转印而形成且被限定在例如多个层中。因而,在实施例中,可以使用多个图案形成装置SMC图案来创建所述SMC目标,以使得能够在衬底上构造所述SMC目标。
在实施例中,所述SMC目标与特定目标(本文中出于方便起见被称作生产目标)相关联,所述特定目标由测量选配方案使用以确定用于例如所述图案化过程的设计、控制等的相关联图案化过程参数。在实施例中,所述SMC目标的子目标是生产目标(其中所述生产目标可以是产品目标或非产品目标)。在子目标为产品目标的情况下,应了解,可以不在所述SMC目标中形成器件图案,而是可以在所述SMC目标中再生与所述产品目标对应的器件图案的一部分。在实施例中,所述SMC目标的子目标是具有与生产目标相当的或可比的行为(例如具有与生产目标相似但不相同的结构)的目标。在实施例中,所述SMC目标存在于定位有所述生产目标的一个或更多个层中的大多数(如果不是全部)中,使得其可以确定适用的图案化过程参数。
一个或更多个子目标的集合可以通过以下方式来为特定的图案化过程参数提供特定的DoE:使特定的DoE的一个或更多个子目标相对于子目标的名义形式具有某一已知变化。在实施例中,所述变化可以创建或增强所述子目标的实体配置的不对称性,所述不对称性可以使得能够使用来自所述目标的测量结果,以使用测量选配方案来获得所述图案化过程参数的值。可以利用与已知变化相关联的图案化过程参数值来评估所述子目标的图案化过程参数的测量值,以对所述测量选配方案进行设置、监控、校正等。例如,在重叠的情境下,如果一个或更多个特定的重叠类型(诸如在两个层之间在X方向上的重叠,或在两个层之间在Y方向上的重叠)被评估为DoE,则针对所述DoE的一个或更多个子目标可以被配置成提供针对DoE的所述特定一个或更多个重叠类型的某一个或更多个已知重叠偏移。例如,可以针对用于相关联DoE子目标集合的一个或更多个特定的重叠类型提供多个不同的已知重叠偏移。所述偏移在大小方面、在正负号方面、或它们的组合方面不同。例如,特定的DoE子目标集合可以具有单个偏移大小,并且具有所述偏移的正版本和所述偏移的负版本。作为另一示例,特定的DoE子目标集合可以具有多个不同的偏移大小,其中每个偏移集合大小可以具有其正值或负值。作为另一示例,特定的DoE子目标集合可以具有多个不同的偏移大小,所述偏移的全部或某一子集具有正号。作为另一示例,特定的DoE子目标集合可以具有具备其负值和正值的偏移大小,和仅具备正值或仅具备负值的另外一个或更多个偏移大小。因此,在实施例中,可以使用一个或更多个大小和/或正负号的任何合适的组合。在实施例中,虽然针对DoE子目标集合内的特定的子目标的偏移可以不同,但DoE子目标集合内的每个子目标评估了相同的一个或更多个特定的重叠类型,并且因此针对每个子目标的偏移虽然在大小、正负号等方面不同,但将会被施加至DoE子目标集合的每个子目标中的相同的一个或更多个重叠类型。
在实施例中,所述SMC目标可以具有多个DoE子目标集合,每个DoE子目标集合被设计用以评估图案化过程参数的一种或更多种类型的特定集合。例如,第一DoE子目标集合可以用以评估呈在X方向上在某两个层之间的重叠的形式的X方向重叠类型(第一重叠类型),并且第二DoE子目标集合可以用以评估呈在Y方向上在某两个层之间的重叠的形式的Y方向重叠类型(第二重叠类型)。作为另一示例,第一DoE子目标集合可以用以评估在X方向上在两个层的第一集合之间的重叠,并且第二DoE子目标集合可以用以评估在X方向上在两个层的不同的第二集合(所述第二集合可以包括第一集合的层中的一个层)之间的重叠。如应了解的,可以在单个SMC目标中评估与所描述重叠类型不同的重叠类型和/或重叠类型的各种不同组合。在实施例中,每个DoE子目标集合可以在DoE子目标集合内的子目标之中具有不同的偏移,如上文所描述的,然而,针对一个DoE子目标集合的那些偏移将会被施加至与一个或更多个特定的重叠类型的第一集合相关联的结构,而针对另一DoE子目标集合的那些偏移将被会施加至与一个或更多个特定的重叠类型的不同的第二集合相关联的结构。
在实施例中,DoE子目标子集的多个子目标的每个子目标可以具有相同的名义形式,除了在DoE子目标子集内的子目标之中的变化以外。如上文提及的,在实施例中,将偏移的变化施加至所述DoE子目标子集中所评估的相同的一个或更多个特定的图案化过程参数类型。在实施例中,DoE子目标子集的一个或更多个子目标可以具有与另一DoE子目标子集的一个或更多个子目标相同的名义形式,除了在变化被施加至的一个或更多个图案化过程参数中的DoE子目标集合之间的差异以外。在实施例中,所述SMC目标的每个子目标可以具有相同的名义形式,除了例如DoE子目标子集内的子目标之中的变化、和在变化被施加至的一个或更多个图案化过程参数中的DoE子目标集合之间的差异以外。然而,所述SMC目标可以具有子目标的不同的名义形式。例如,针对一个DoE子目标集合的子目标的名义形式可以不同于针对另一DoE子目标集合的子目标的名义形式。
在实施例中,针对其中至少存在图案化过程参数类型的生产目标的层,所述DoE集合具有偏移。因此,例如,针对存在至少一种类型的重叠参数的那些层,所述DoE集合被施加移位。针对不存在重叠参数的一个或更多个层,正常图案化将在该区域中进行。
在实施例中,在生产目标叠层中的具有正被评估的至少一种类型的图案化过程参数(例如重叠参数)的每层,来自所述SMC目标的多个(例如全部)DoE集合用以评估该层的图案化过程参数的所述类型(例如重叠参数)。即,多于一个DoE集合可以用以评估针对一层的相同类型的图案化过程参数(例如,呈诸如第一层中的X重叠与相同第一层中的Y重叠,和第一层中的X重叠与第二层中的Y重叠和X重叠等的不同组合)。因此,在实施例中,多个(例如全部)DoE集合意味着对特定层的所述图案化过程参数类型的模型进行训练。在实施例中,特定层处的所述SMC目标的所有DoE集合用以评估针对该层的重叠参数的类型。许多不同的DoE集合的原因是获得所讨论的参数类型与来自其它层的一个或更多个参数类型的相对组合。如此,在实施例中,所述SMC目标不是由DoE集合的单个布局形成,而由DoE集合的若干“层”形成,每个层具有不同的偏移组合。在实施例中,全部图案化过程参数类型组合(例如生产层中的重叠参数类型的全部组合)应经由DoE集合的不同层而存在于所述SMC目标中。
在实施例中,DoE集合的数目取决于所述生产目标的层中的图案化过程参数(例如重叠参数)的类型的总数目。原则上,如果叠层仅具有一个图案化过程参数类型(例如一个重叠类型),则一个DoE集合是足够的。
如应了解的,在实施例中,可以跨越所述衬底提供所述SMC目标的多个实例。此外,在实施例中,可以在衬底上设置多种不同类型的SMC目标(例如,针对特定的不同类型的生产目标来限定每个SMC目标),并且可以在衬底上设置那些多个不同SMC目标的多个实例。
现在参考图32,描绘了SMC目标3200的示例。如在此实施例中所示出的,所述SMC目标3200被示出为具有一种具备尺寸3210和3220的矩形形状(在这种特定情况下,是正方形形状)。然而,所述SMC目标3200无需具有矩形形状。在实施例中,所述SMC目标3200的横向尺寸(诸如尺寸3210和/或尺寸3220)小于或等于约500μm、小于或等于约400μm、小于或等于约300μm、小于或等于约200μm、小于或等于约100μm、小于或等于约80μm、小于或等于约60μm、小于或等于50μm、小于或等于约40μm、小于或等于约30μm、小于或等于约20μm、小于或等于约10μm,或少于或等于约1μm。在实施例中,尺寸3210和3220选自约10μm至约100μm的范围,例如,在约10μm至30μm的范围内。在实施例中,所述SMC目标3200的形状的面积小于或等于约250,000μm2、小于或等于约160,000μm2、小于或等于约90,000μm2、小于或等于约40,000μm2、小于或等于约10,000μm2、小于或等于6,400μm2、小于或等于3,600μm2、小于或等于约2,500μm2、小于或等于约1,600μm2、小于或等于约900μm2、小于或等于约400μm2、小于或等于100μm2,或小于或等于1μm2。在实施例中,所述SMC目标3200的形状的面积选自900μm2至10,000μm2的范围。
此外,在实施例中,所述SMC目标包括多个子目标3230。在图32的示例中,存在8×8=六十四个子目标。如应了解的,可以设置不同数目个子目标,并且在两个或更多个方向上无需是均匀的分布(例如在一个方向上可能存在8个子目标且在另一方向上可能存在4个子目标以创建32个子目标)。在实施例中,所述SMC目标包括子目标的阵列,所述子目标的阵列在实施例中包括子目标的二维阵列。在实施例中,子目标3230具有矩形形状(例如,正方形形状)。然而,子目标3230可以具有不同的形状。在实施例中,子目标3230无需都具有相同的形状。在实施例中,子目标3230的横向尺寸小于或等于约100μm、小于或等于80μm、小于或等于约60μm、小于或等于约50μm、小于或等于约40μm、小于或等于约30μm、小于或等于约20μm、小于或等于约10μm、小于或等于约5μm、小于或等于约3μm、小于或等于约2μm、小于或等于约1μm,或小于或等于约750nm。在实施例中,尺寸3210和3220选自约1μm至约20μm的范围。在实施例中,子目标3230的形状的面积小于或等于约10,000μm2、小于或等于约6,400μm2、小于或等于约3,600μm2、小于或等于约2,500μm2、小于或等于约1,600μm2、小于或等于900μm2、小于或等于400μm2、小于或等于约100μm2、小于或等于10μm2、小于或等于约1μm2、小于或等于约0.5μm2,或小于或等于0.25μm2、小于或等于1μm2。在实施例中,子目标3230的形状的面积选自400μm2至0.25μm2的范围。在实施例中,所述SMC目标的大小可以被修整成适应于所述衬底上的空间和/或所述图案形成装置上的空间。例如,大小可以被修整以更有效地适应于划道空间(例如,如果划道宽度为60μm,则可以使所述SMC目标具有30μm横向尺寸以便使两个所述SMC目标适应于所述划道空间内)。
仅出于方便起见,将依据由子目标的5μm×5μm的阵列形成的40μm×40μm的SMC目标来描述示例的SMC目标。在实施例中,所述SMC目标的总大小为40μm×40μm+某一量的间隙区域3270。在这种实施例中,因而存在8×8=六十四个5μm×5μm子目标。在实施例中,在所述SMC目标3200的内部的子目标周围不存在或存在非常小的间隙区域。即,用以示出子目标的线仅是区域的分界线。但在实施例中,所述SMC目标的内部中的子目标3230可以具有间隙区域,所述间隙区域可以仅是空白区域,并且所述间隙区域无需是均一的。因此在实施例中,所述子目标以邻接或接近邻接方式而彼此相邻地设置。然而,在实施例中,所述子目标3230可以散开。
如图32中所示出的,束斑3240可以用以测量特定的子目标3230。虽然示出了测量所述子目标3230(典型地通过所述SMC目标3200相对于束斑3240的步进移动使得束斑3240照射各个子目标3230)的单个束斑3240,但可以使用多于一个束斑3240。如上文进一步所描述的,当所述SMC目标3200相对于束斑3240移动时,束斑3240也可以通过例如保持开启而有效地扫描跨越所述子目标3230。
在使用一个或更多个子目标3230的集合的情况下,可以提供一个或更多个子目标3230的DoE集合3250或3260。DoE集合3250、3260用于评估正由所述测量选配方案测量的所述图案化过程参数的一种或更多种特定类型。例如,所述图案化过程参数可以是重叠,并且图案化过程参数的特定类型可以是在某一方向上的重叠。在这个示例中,DoE集合3250包括两个子目标3230(并且例如为10μm×5μm),而DoE集合3260包括四个子目标3230(并且例如为10μm×10μm)。在实施例中,DoE集合3250、3260可以仅包括一个子目标或包括不同的多个子目标。在实施例中,所述SMC目标3200包括多个DoE集合。虽然在这种实施例中所述SMC仅由DoE集合3250或DoE集合3260构成、且所述SMC目标3200中的多个DoE集合是相等的,但可能存在多个不同大小的DoE集合(例如,DoE集合3250的一个或更多个实例和DoE集合3260的一个或更多个实例这两者)。在实施例中,特定的DoE集合的子目标是相对接近的,使得可以假定在子目标的DoE集合区域中存在静止过程误差。
如上文所论述的,所述SMC目标的一个或更多个DoE集合可以用以评估图案化过程参数的一种或更多种特定类型,诸如一种或更多种重叠类型。这种评估可以通过对子目标的目标结构施加已知的偏移以引发针对特定的图案化过程参数类型的图案化过程参数的已知的偏移来完成。因此,作为示例,重叠类型可以是偏移(“开启”设定条件)或不偏移(“关闭”设定条件)。因此,如果存在4种类型的重叠(其可以包括所关注的那些重叠类型和另外存在于子目标中的其它重叠类型两者),则针对所述4种重叠类型可能存在设定条件的2^4=16个集合,其中的设定条件的一个集合将会涉及针对每种重叠类型(在实施例中,可以不被评估)无移位,从而产生关于具有偏移的至少一种重叠类型的设定条件的15个集合(如果每种重叠类型都被评估)。因此,在实施例中,可以使用DoE集合3260,这是由于其中16个集合将会在图33中所示出的所述SMC目标3200中(其中的一个可以用以确定当不将偏移施加至任何类型的重叠时的条件、其中的一个可以是未使用的,等等)。现在,评估每种重叠类型不是必需的。例如,实际上可能存在4种重叠类型,但仅3种重叠类型可能是所关注的,并且因此DoE集合可以用于评估所关注的3种重叠类型,而将第四重叠类型保留为不被评估的。类似地,如果存在被考虑的5种类型的重叠,则针对所述5种重叠类型可能存在设定条件的2^5=32个集合,其中的设定条件的一个集合将会涉及针对每种重叠类型(在实施例中,可以不被评估)无偏移,从而产生关于具有偏移的至少一种重叠类型的设定条件的31个集合。因此,在实施例中,可以使用DoE集合3250,这是由于其中32个集合将会在图32中所示出的所述SMC目标3200中(其中的一个可以用以确定当不将偏移施加至任何类型的重叠时的条件、其中的一个可以是未使用的,等等)。因而,在实施例中,DoE集合的数目取决于由所述SMC目标支持的图案化过程参数类型的数目,并且DoE集合的大小继而取决于所述SMC目标的总大小(在假定与正被评估的图案化过程参数类型的数目无关而使用相同大小的所述SMC目标的情况下)。因此,多个DoE集合3250或3260的完整集合作为用于测量选配方案设置、训练等的实验设计目标而起作用。
在实施例中,移位可以是离散的。例如,可以针对在特定的DoE集合中所评估的一个或更多个特定的重叠类型来施加特定的离散的偏移。在DoE集合包括多个子目标的情况下,则每个子目标可以具有适当的不同的离散偏移。将在下文描述这种离散的特定示例。另外地或替代地,所述偏移可以是连续的或几乎连续的。即,例如,所述偏移跨越DoE集合以小增量方式或连续地变化。例如,可以在DoE集合中存在3个或更多个偏移大小、5个或更多个偏移大小、10个或更多个偏移大小,或20个或更多个偏移大小。在实施例中,所述偏移可以按这种方式跨越多个子目标而变化。在这种情况下,在实施例中,束斑可以用连续或几乎连续的变化偏移来扫描跨越DoE集合,如由图33中所示出的束斑扫描3300示意性地描绘的。
在实施例中,可以独立处理来自每个子目标的结果,作为对测量选配方案进行评估的部分。即,在实施例中,可以针对每个子目标独立地进行推断。在实施例中,可以将来自多个子目标的组合的结果一起使用。这可以启用自校准模式。在实施例中,可以在例如连续测量模式中(例如位置移位<目标大小)一起使用子目标的整个DoE集合的结果。
参考图34,示意性地示出将图案实施至图案形成装置MA的图案化器件图案中以在衬底上创建所述SMC目标的实例的实施例。在实施例中,所述SMC图案化器件图案3410被实施在具有器件图案3400的图案化器件图案中,所述器件图案3400的6个部分被示出为由边界3415分离。在实施例中,所述SMC图案化器件图案3410被设置于边界3415中。在实施例中,所述SMC图案化器件图案3410可以被设置于所述器件图案3400的区域的部分中,其中空间是可用的。在任一情况下,都可以将所述SMC图案化器件图案3410转印至所述衬底上的划道区域中。所述SMC图案化器件图案3410的四个示例以放大形式被示出,并且那些SMC图案化器件图案3410中的一个SMC图案化器件图案被进一步详细地示出,其中子目标图案3420对应于所述衬底处的SMC目标实例的子目标。在实施例中,所述SMC图案化器件图案3410可以是基本上相同的,即,相同SMC图案化器件图案设计的多个实例。在实施例中,所述SMC图案化器件图案3410中的一个或更多个SMC图案化器件图案具有不同的设计(例如被引导至不同的生产目标)。
在所述SMC目标是针对测量重叠的情况下,则可以将SMC图案化器件图案3410引导至重叠SMC目标实例的特定层。可以(例如在另一图案形成装置MA上)设置另一SMC图案化器件图案3410以提供所述衬底处的所述SMC目标实例的另一层(即,在与由所述另一SMC图案化器件图案3410提供的图案相同的部位处,但在不同层中),使得两个或更多个所述SMC目标图案(具有它们的子目标的适当偏移)被设置于所述生产目标叠层的用以确定重叠的各个层中。在所述SMC目标是针对重叠的情况下,所述SMC图案形成装置目标图案可以在其中具有有意的空间偏置,以在所述衬底处产生特定的偏移(例如在衬底处大于0且小于或等于约50nm的偏移,例如大于0且小于或等于约10nm的偏移),以创建所述衬底处的所述SMC目标实例中的实体配置的不对称性或不对称性的改变。
参考图35,描绘了SMC目标3200的实施例以更具体解释可以如何评估不同的图案化过程参数类型。在这种实施例中,所述图案化过程参数是重叠。类似于图33的所述SMC目标3200,所述SMC目标3200包括多个DoE集合3260(其中的一个DoE集合以粗体突出显示)。在用以评估4种不同重叠类型的这种示例中,每个DoE集合3260包括四个子目标3500、3510、3520、3530。如本文中所论述的,每个DoE集合3260可以用以评估重叠类型的不同组合。此外,如这种示例中所示出的,存在两个不同的偏移大小b1和b2,它们也被用作特定偏移大小的正值和负值。例如,移位b1可以选自0nm至50nm的范围,并且移位b2可以选自0nm至50nm的范围,其中b1与b2的绝对值不同。在实施例中,偏移b2对b1的大小的比率选自1.25:1至3:1的范围,并且在实施例中是2:1。在实施例中,b1选自0nm至10nm的范围,并且b2选自0nm至10nm的范围,并且偏移b2对b1的大小的比率选自1.5:1至2.5:1的范围。在实施例中,b1为约2.5nm且b2为约5nm。如应了解的,将会在重叠类型的方向上施加偏移,并且偏移的指向(例如在正方向或负方向上)取决于正负号。如图35中所示出的,子目标3500可以具有施加至针对所述DoE集合3260具有“开启”设定条件的所有重叠类型的+b1偏移;子目标3510可以具有施加至针对所述DoE集合3260具有“开启”设定条件的所有重叠类型的-b1偏移;子目标3520可以具有施加至针对所述DoE集合3260具有“开启”设定条件的所有重叠类型的+b2偏移;并且子目标3530可以具有施加至针对所述DoE集合3260具有“开启”设定条件的所有重叠类型的-b2偏移。虽然使用两个不同的偏移大小b1和b2,但情况无需如此。例如,可以将偏移大小b1施加至子目标3520和3530,或可以将偏移大小b2施加至子目标3500和3510。所述两个不同的偏移大小提供较多的多样化或多样性。另外地或替代地,-b1和+b1偏移可以替代地分别是两个正偏移或两个负偏移。另外地或替代地,-b2和+b2偏移可以替代地分别是两个正偏移或两个负偏移。并且,虽然使用四个子目标3500、3510、3520、3530,但可以在DoE集合3260中存在较少子目标(特别是如果在例如总体SMC目标大小例如取决于所评估的重叠参数类型的数目而减小的情况下),或可以在DoE集合3260中存在较多子目标(特别是如果在例如总体SMC目标大小被增大的情况下)。
如提及的,每个DoE集合3260可以用以评估图案化过程参数的一种或更多种特定类型,诸如一种或更多种重叠类型。这种评估可以通过对子目标的目标结构施加已知的偏移以引发针对特定的图案化过程参数类型的图案化过程参数的已知的偏移来完成。对于重叠,特定的DoE集合可以具有具备已知偏移的特定的重叠类型组合,所述偏移可以接着用以评估使用测量选配方案进行的所述特定一个或更多个重叠类型的测量,以查看所述测量选配方案是否正确地识别了所述已知偏移。例如,如上文所论述的,用于在特定的DoE集合中进行评估的特定的重叠类型可以具有“开启”设定条件,并且不评估的特定的重叠类型具有“关闭”设定条件。在示例中,“设定条件”可以是处于检查中的SMC目标中的每重叠类型的标志,并且可采取以下值:-1、0、1(或在适用时采取其它值)。当设定条件为0时,意味着相关重叠类型不被施加偏移。当设定条件被设定为1或-1时,相关重叠类型得到适用的偏移(例如b1或b2)。当设定条件为+1时,所述重叠类型得到针对每个特定子目标所选择的偏移的正值,并且当设定条件被设定为-1时,所述重叠类型得到针对每个特定子目标所选择的偏移的负值。因而,-1设定条件意味着偏移将会在与针对+1设定条件的偏移相反的方向上。
参考图36,呈现了4种重叠类型SMC目标的表,以示出针对图35的所述SMC目标3200中的特定DoE集合3260的重叠类型的示例。第一列按编号列出DoE集合3260。第二列至第五列分别列出不同的重叠类型,诸如两个层的第一集合之间的在X方向上的重叠类型OV1、两个层的第一集合之间的在Y方向上的重叠类型OV2、两个层的不同的第二集合(其可以包括来自层的第一集合的层)之间的在Y方向上的重叠类型OV3,和两个层的第二集合之间的在X方向上的重叠类型OV4。值0、1和-1被示出为用来指示针对特定重叠类型的设定条件。-1值用于补偿如下情形:其中在相同的子目标中评估在同一方向上的至少两种重叠类型(例如在两个层之间的在X方向上的重叠和在另外的两个层之间的在X方向上的重叠),以便针对相同的方向重叠类型中的至少两个方向重叠类型给出相反的偏移。因此,在实施例中,每个适用的DoE集合3260具有如在表中针对特定的重叠类型所给出的相对重叠设定。例如,对于具有b1偏移的子目标(例如子目标3500和3510),则如果将特定的重叠类型(例如OV1、OV2等)设定为0,则针对该特定重叠类型的偏移是0*(+b1),即无偏移。如果将特定的重叠类型设定为1,则针对该特定的重叠类型的偏移是+1*(+b1),即,正评估所述特定的重叠类型,且针对子目标3500针对该特定的重叠类型施加为+b1的偏移,并且针对子目标3510针对该特定的重叠类型施加-b1。如果将特定的重叠类型设定为-1,则针对该特定的重叠类型的偏移是-1*(+b1),即,正评估所述特定的重叠类型且针对子目标3500针对该特定的重叠类型施加为-b1的偏移,并且针对子目标3510针对该特定类型施加+b1。类似地,例如,对于具有b2偏移的子目标(例如子目标3520和3530),则如果将特定的重叠类型(例如OV1、OV2等)设定为0,则针对该特定的重叠类型的偏移是0*(+b2),即无移位。如果将特定的重叠类型设定为1,则针对该特定的重叠类型的偏移是+1*(+b2),即,正评估所述特定的重叠类型且针对子目标3520针对该特定的重叠类型施加为+b2的偏移,并且针对子目标3530针对该特定的重叠类型施加-b1。如果将特定的重叠类型设定为-1,则针对所述特定的重叠类型的偏移是-1*(+b2),即,正评估所述特定的重叠类型且针对子目标3520针对该特定的重叠类型施加为-b2的移位,并且针对子目标3530针对该特定类型施加+b1。
因而,例如,DoE集合#1可以具有施加至两个层的第二集合之间的在X方向上的重叠类型OV4的偏移,而所有其它重叠类型将不会被施加偏移。类似地,例如,DoE集合#7可以具有施加至两个层的第二集合之间的在X方向上的重叠类型OV4的偏移、施加至两个层的第二集合之间的在Y方向上的重叠类型OV3的偏移,和施加至两个层的第一集合之间的在Y方向上的重叠类型OV2的偏移,而其它重叠类型OV1将不会被施加偏移。由于在此DoE集合#7中评估两种Y方向重叠类型,则向偏移中的一个偏移给出负值,使得向一个Y方向重叠类型相对于另一Y方向重叠类型施加相反的偏移。如应了解的,可能存在可以不被使用的另一DOE集合(其在图中未示出),其针对重叠类型OV1至OV4中的每种重叠类型具有0。
参考图37,描绘了SMC目标3200的另一实施例,所述SMC目标3200是与图35的所述SMC目标3200相当的或可比的。类似于图33的所述SMC目标3200,所述SMC目标3200包括多个DoE集合3250(其中的一个DoE集合以粗体突出显示)。在用以评估5种不同重叠类型的这种示例中,每个DoE集合3250包括两个子目标3700、3710。如本文中所论述的,每个DoE集合3250可以用以评估重叠类型的不同组合。此外,如此示例中所示出的,存在单个偏移大小b1,其也用作特定偏移大小的正值和负值。例如,偏移b1可以选自0nm至50nm的范围。在实施例中,b1选自0nm至10nm的范围。在实施例中,b1为约2.5nm。如应了解的,将会在重叠类型的方向上施加偏移,并且偏移的指向(例如在正方向或负方向上)取决于正负号。如图37中所示出的,子目标3700可以具有施加至针对所述DoE集合3270具有“开启”设定条件的所有重叠类型的+b1偏移,并且子目标3710可以具有施加至针对所述DoE集合3250具有“开启”设定条件的所有重叠类型的-b1偏移。虽然使用单个偏移大小b1,但情况无需如此。例如,如果所述SMC目标较大,则DoE集合3250可以包括较多子目标且因此容纳一个或更多个额外的偏移大小。在实施例中,-b1和+b1偏移可以分别是两个正偏移、两个负偏移、一个负偏移和一个零偏移,或一个正偏移和一个零偏移。并且,虽然使用两个子目标3700、3710,但可以在DoE集合3250中存在较少子目标(特别是如果在例如总体SMC目标大小减小且其中可以使用连续重叠偏移的情况下),或可以在DoE集合3250中存在较多子目标(特别是在如果例如总体SMC目标大小增大的情况下)。
如提及的,每个DoE集合3250可以用以评估图案化过程参数的一种或更多种特定类型,诸如一种或更多种重叠类型。这种评估可以通过对子目标的目标结构施加已知的偏移以引发针对特定的图案化过程参数类型的图案化过程参数的已知的偏移来完成。对于重叠,特定的DoE集合可以具有具备已知偏移的特定的重叠类型组合,所述偏移可以接着用以评估使用测量选配方案进行的所述特定一个或更多个重叠类型的测量,以查看所述测量选配方案是否正确地识别了所述已知偏移。例如,如上文所论述的,用于在特定的DoE集合中进行评估的特定的重叠类型可以具有“开启”设定条件,并且不供评估的特定的重叠类型具有“关闭”设定条件。在示例中,“设定条件”可以是在处于检查中的所述SMC目标中的每重叠类型的标志,并且可采取以下值:-1、0、1(或在适用时采取其它值)。
参考图38,呈现了5种重叠类型SMC目标的表,以示出针对图37的所述SMC目标3200中的特定的DoE集合3250的重叠类型的示例。第一列按编号列出DoE集合3250。第二列至第六列分别列出不同重叠类型,诸如两个层的第一集合之间的在X方向上的重叠类型OV1、两个层的第一集合之间的在Y方向上的重叠类型OV2、两个层的不同的第二集合(其可以包括来自两个层的第一集合的层)之间的在X方向上的重叠类型OV3、两个层的第二集合之间的在Y方向上的重叠类型OV4,和两个层的不同的第三集合(其可以包括来自层的第一集合或第二集合的层)之间的在X方向上的重叠类型OV5。值0、1和-1被示出为用来指示针对特定的重叠类型的设定条件。-1值用于补偿如下情形:其中在相同的子目标中评估在同一方向上的至少两种重叠类型(例如在两个层之间的在X方向上的重叠和在另外的两个层之间的在X方向上的重叠),以便针对相同的方向重叠类型中的至少两个方向重叠类型给出相反的偏移。在实施例中,在同一方向上的第三重叠类型被评估,即具有值1的情况下,则其将被给出+1值。因而,针对正评估的在同一方向上的各种重叠类型,正负号将交替通过所述目标叠层。这可以针对DoE#21、DoE#23、DoE#29和DoE#31可见。在实施例中,在同一方向上的第三重叠类型可以被给予不同的值,诸如1/2或3/2,并且接着如果在同一方向上存在另一重叠类型,则其将会具有值1,在适用时通过叠层的之后的下一个将会是-1,之后的下一个将会具有(1/2或3/2)等等。因此,在实施例中,每个适用的DoE集合3250具有如在表中针对所述特定的重叠类型所给出的相对重叠设定。例如,针对具有b1偏移的子目标(即子目标3700和3710),则如果将特定的重叠类型(例如OV1、OV2等)设定为0,则针对该特定的重叠类型的偏移是0*(+b1),即无偏移。如果将特定的重叠类型设定为1,则针对该特定的重叠类型的偏移是+1*(+b1),即,评估所述特定的重叠类型且针对子目标3700针对该特定的重叠类型施加为+b1的偏移,并且针对子目标3710针对该特定的重叠类型施加-b1。如果将特定的重叠类型设定为-1,则针对该特定的重叠类型的偏移是-1*(+b1),即,评估所述特定的重叠类型且针对子目标3700针对该特定的重叠类型施加为-b1的偏移,并且针对子目标3710针对该特定类型施加+b1。
因此,例如,DoE集合#1可以具有施加至两个层的第三集合之间的在X方向上的重叠类型OV5的偏移,而所有其它重叠类型将不会被施加偏移。类似地,例如,DoE集合#7可以具有施加至两个层的第三集合之间的在X方向上的重叠类型OV5的偏移、施加至两个层的第二集合之间的在Y方向上的重叠类型OV4的偏移,和施加至两个层的第二集合之间的在X方向上的重叠类型OV3的偏移,而其它重叠类型OV1和OV2将不会被施加偏移。由于在此DoE集合#7中评估两种X方向重叠类型(即OV3和OV5),则向偏移中的一个偏移给出负值,从而使得向一个X方向重叠类型相对于另一X方向重叠类型施加相反的偏移。如应了解的,可能存在可以不被使用的另一DOE集合(其在图中未示出),其针对重叠类型OV1至OV5中的每个重叠类型具有0。
当所评估的重叠类型的数目小于4时且在假定所述SMC目标大小保持大约相同的情况下,则DoE集合的大小能够例如扩展以容纳更多子目标,所述子目标的大小可以增大,或可以向多个DoE子目标区域分配相同的设定条件。
在实施例中,子目标相互紧靠(例如在10μm内、在5μm内、在2μm内、在1μm内或在500nm内),使得针对每个子目标的周围区域的对比度对于每个目标是相似的。以这种方式,可以针对每个子目标的测量而限制来自周围的误差,和/或可以发生来自周围结构的较少串扰。
在实施例中,所述SMC目标的最关键的图案化过程参数类型(例如重叠类型)中的一种或更多种图案化过程参数类型被定位成尽可能地接近于所述SMC目标的中心部分。这是为了防止所述SMC目标的边缘处的潜在过程损害和/或光学邻近效应,所述潜在过程损害和/或光学邻近效应可能影响所述SMC目标的一个或更多个DoE集合的“设定-得到”的结果(例如创建设定值的可能的偏差)。
在实施例中,可以使所述SMC目标中的子目标的DoE设定被随机化。这可以降低或最小化(由于所述随机化)过程变化和/或光学邻近效应对设定值的潜在影响。例如,可以使DoE集合随机地布置在所述SMC目标中。作为另一示例,DoE集合内的偏移可以针对不同的DoE集合而随机地布置(例如,+b1针对一个或更多个DoE集合可以在右上角,并且针对一个或更多个其它DoE集合可以在不同部位中)。在实施例中,用于设定条件的特定集合的子目标无需被放置成与其它子目标相邻。
在实施例中,子目标的结构跨越子目标是连续的,例如在子目标周围无间隙和/或无周期性结构特征缺失和/或无“不在间距内的”周期性结构相邻结构。因此,实际上,子目标的集合可以创建可以用于较小的子目标区域测量选配方案设置、监控等的较大的DoE集合目标区域。在较大的DoE集合目标区域中,相对于较小的子目标,来自光刻设备的定位和聚焦误差对于与所述目标的实体配置中的不对称性相关的图案化过程参数(诸如重叠)的串扰和/或对于与所述目标的实体配置中的对称改变相关的目标结构实体特性参数(例如CD或其它目标结构轮廓参数)的串扰是有限的。
在实施例中,为了将束斑放置在所述SMC目标上的适当部位处,可以使用图案识别以识别在衬底上的所述SMC目标。在实施例中,图案识别可以用于识别所述SMC目标中的各个DoE集合。因此,在实施例中,所述图案识别可以识别例如DoE集合的一个或更多个拐角部。因而,图案化识别的结果可以将束斑粗略地对准至相应DoE集合的拐角部,以便接着使所述束斑能够对准至子目标区域。当然,所述图案识别可以识别除DoE集合的拐角部之外的其它部位,并且将其用作用于将束斑对准至所期望的子目标的粗略指导。在实施例中,使用开环控制来进行对束斑在相应子目标处的放置的控制。在实施例中,所述SMC目标可以具有用于控制所述束斑的放置的对准标记。在实施例中,所述SMC目标可以具有用于仅作为整体的所述SMC目标的对准标记,并且根据所述对准标记位置,可以接着控制所述束斑至子目标的放置。在实施例中,所述SMC目标可以具有用于DoE集合和/或子目标的多个对准标记,以能够实现较精细控制。
如上文所描述的,在实施例中,所述SMC目标可以使用离散偏移的附加机制或替代机制以创建或增强所述子目标的结构中的不对称性,所述不对称性对应于相关联的图案化过程参数(例如重叠)的特定值。在实施例中,提供作为所述SMC目标(例如所述SMC目标的一个或更多个子目标、或所述SMC目标的DoE集合区域)在量测目标结构中的位置的函数的相关联的图案化过程参数的大体上连续的变化。在用于重叠的实施例中,这可以通过使用在相关层的周期性结构的间距之间具有小差异的量测周期性结构来实现,例如通过具有周期性结构具备间距p1的第一层和周期性结构具备间距p2的第二层,其中p2=p1+Δp,其中Δp是为了能够实现重叠的变化的间距的差。因而,可以使用拍频效应或差拍效应(例如使用间距p和p+Δp)来创建连续重叠的偏移。具体地,为了创建从-maxOV至maxOV的重叠偏移的范围,可以使用以下示例设计公式导出Δp的选择:
Δp=maxOV/(TargetLength/p1/2) (18)
因而,例如,在考虑大小为40μm×10μm的SMC目标区域、其目标结构具有为100nm的层1的间距(名义间距)以及为[-5nm,5nm]的针对所述SMC目标的重叠偏移的目标范围的情况下,则TargetLength(即目标长度)=40μm且Δp=5nm(40μm/100nm/2)=5nm/200=0.025nm。因此,层2的所述间距应为p2=100.025nm。接着,通过使层的周期性结构在40μm区域的中心部分中(例如在约20μm点处)对准,则在束斑跨越从0μm至40μm的所述SMC目标区域进行测量时创建从-5nm至5nm重叠偏移的连续变化。在实施例中,可以在设计中作出不同的选择,例如,具有单极重叠变化,诸如从0至maxOV或从-maxOV至0的变化。在该情况下,除以2将从上述公式被移除。
在实施例中,所述SMC目标可以具有多个子目标,所述多个子目标具有作为在所述SMC目标内的位置的函数的图案化过程参数的连续变化,例如SMC目标可以包括如在先前的示例中所解释的多个不同的子目标,所述多个不同的子目标具有连续变化以涵盖例如在存在多层结构(例如诸如DRAM结构的产品目标,或非产品目标)的情况下在不同层之间的重叠变化。
在实施例中,连续变化概念也可以用于包括具有二维周期性(即,在两个不同方向上的周期性)的单位单元的目标。例如,在这种情况下,可以针对所述单位单元周期性地重复的两个方向中的每个方向引入间距的Δp。例如,包括2D周期性结构的SMC目标可以具有在同一目标中变化的高达4种重叠类型,只要其中的两种重叠类型在同一方向(例如X方向)上,并且另外的两种重叠类型在同一方向上,与前一方向不同(例如在Y方向上),因而提供了涵盖具有连续变化的所有重叠组合的能力。
在实施例中,具有作为图案化过程参数的位置的函数的连续变化的SMC目标可以与集合式的推断测量模式一起使用。在这种测量模式中,对具有作为图案化过程参数的位置的函数的连续变化的目标区域进行多次采集,其中每次采集都具有斑至目标(实际上所述区域被扫描)的相对位置的改变,并且使用跨越被扫描的区域的连续变化的知识来执行所有所采集的图像的联合重构。在实施例中,拼接所述图像以创建关于在所述目标中有效地编码的图案化过程参数的连续变化的信息,并且将组合后的信息用于测量选配方案设置、监控、校正等。
在实施例中,所述SMC目标可以用于对针对产品量测目标(例如用作量测目标的DRAM结构的集合)的测量选配方案进行设置、监控、校正等。在所述情况下,子目标可以是在器件图案的情境之外再生的相关联的产品量测目标结构。或者,所述子目标可以是被设计成模仿所述产品量测目标的行为的非产品目标。
在实施例中,所述SMC目标可以用于对针对非产品量测目标的测量选配方案进行设置、监控、校正等。在该情况下,所述子目标可以是在其与器件图案的情境之外再生的非产品量测目标。或者,所述子目标可以是被设计成模仿与器件图案一起使用的非产品量测目标的行为的非产品目标。在实施例中,所述SMC目标可以在非产品目标(例如可以例如插入至器件图案中的独立的小型的(诸如5×5μm)非产品目标)的选配方案设置期间用于模型验证中,以通过以下操作来规避非产品目标的周围环境在几何模型验证中的影响:例如,通过使用足够大以用于限制至周围环境的斑能量泄漏的子目标和/或通过使相邻子目标具有大体上相同的对比度,以便限制或消除由于与子目标相邻的区域而引入的误差。与此对比,非产品目标可以具有会引入误差的相邻区域,并且因此所述SMC目标可以用于设置考虑到这些误差的测量选配方案。
在实施例中,所述SMC目标可以具有除了评估使用本文中所描述的测量选配方案而确定的图案化过程参数之外的其它用途,所述测量选配方案取决于所述量测结构的不对称性。例如,所述SMC目标的子目标中的一个或更多个子目标可以用于薄膜属性评估。在实施例中,SMC目标可以用于确定光刻设备的聚焦,其中例如所述SMC目标的一个或更多个子目标的特征的CD是作为所述光刻设备的聚焦的函数。因此,来自SMC目标的所述子目标的所测量的CD可以用以评估用来创建所述SMC目标的聚焦,作为图案化过程的部分。可以发现合适的相关性以将SMC目标CD改变从名义值映射至聚焦量。在实施例中,SMC目标可以用来评估生产目标的周围环境的影响。例如,所述SMC目标可以是与所述SMC目标相邻的不同类型的区域(例如可以环绕所述SMC目标的空白区),而不是生产目标(例如所述生产目标可以具有与所述生产目标相邻的器件图案或与所述生产目标相邻的其它量测标记)。所述相邻区域可以对来自所述生产目标的测量结果有串扰效应。因此,可以利用来自生产目标的测量结果来评估来自所述SMC目标的测量结果,以能够实现相邻区域对测量结果的效应的隔离,使得可以进行适当的调整(例如在测量选配方案中)。
可以出于多种目的而使用本文中的所确定的图案化过程参数值(例如,重叠值)和技术。例如,用以启用图案化过程的重要方面包括:使过程自身显影、设置所述过程以用于监控和控制且接着实际上监控和控制所述过程自身(例如,基于所述图案化过程参数值来预测发生缺陷的机会)。可以在这些方面中的任一方面中使用本文中的图案化过程参数值和技术。此外,在假定所述图案化过程的基本原理的配置(诸如图案化器件图案、抗蚀剂类型、光刻后过程步骤(诸如显影、蚀刻等))的情况下,期望在所述图案化过程中设置所述设备以用于将图案转印至衬底上、使一个或更多个量测目标显影以监控过程、设置量测过程以测量所述量测目标,并且实施基于测量来对过程进行监控和/或控制的过程。可以在那些过程中的任一过程中使用本文中的图案化过程参数值和技术。
虽然本申请中的论述考虑了被设计用以测量形成于衬底上的器件的重叠的量测过程和量测目标的实施例,但本文中的实施例同样适用于其它量测过程和目标,诸如用以测量在对称结构中的各种其它不对称性(诸如侧壁角的不对称性、底板倾角的不对称性、CD的不对称性,等等)的过程和目标。因而,本文中对重叠量测目标、重叠数据等的提及应被认为被合适地修改以启用其它种类的量测过程和目标。
在实施例中,提供一种确定图案化过程的参数的方法,所述方法包括:利用辐射束来照射衬底使得所述衬底上的束斑填充有单位单元的一个或更多个实体实例,所述单位单元在参数的名义值的情况下具有几何对称性;使用检测器来主要检测由所述单位单元的所述一个或更多个实体实例重新引导的零阶辐射;以及由硬件计算机系统根据所检测的辐射的光学特性的值来确定所述单位单元的所述参数的非名义值。
在实施例中,所述参数包括重叠。在实施例中,所述方法包括基于所述参数来确定边缘放置误差。在实施例中,来自具有对于利用所述参数所测量到的物理效应的较大灵敏度的所检测辐射的像素的光学特性值提供了与来自具有对于利用所述参数所测量到的物理效应的较低灵敏度的所检测辐射的其它像素的光学特性值相比对于确定所述参数的所述非名义值的较大贡献。在实施例中,所述光学特性的所述值形成光瞳表示。在实施例中,处理所述光学特性的所述值以减去跨越对称轴的光学特性值,以便减小或消除所检测辐射的对称光学特性分布的光学特性值。在实施例中,使用针对所检测辐射的多个像素的、由用于每个像素的光学特性值乘以用于该像素的相关联加权的总和,来确定所述参数的所述非名义值。在实施例中,所述光学特性是强度和/或相位。在实施例中,所述单位单元的所述一个或更多个实体实例对应于器件结构。在实施例中,所述单位单元的所述一个或更多个实体实例对应于在包括器件结构的衬底管芯内的非器件结构。在实施例中,在用以创建所述单位单元的所述一个或更多个实体实例的蚀刻过程之后检测所述辐射。在实施例中,所述参数包括重叠,并且所述方法还包括根据所述光学特性值、以与也能够根据相同光学特性值而获得的第二重叠分离的方式来确定第一重叠的值,其中所述第一重叠在与所述第二重叠不同的方向上、或在与所述第二重叠不同的所述单位单元的部分的组合之间。
在实施例中,提供一种确定图案化过程的参数的方法,所述方法包括:获得由在名义实体配置的情况下具有几何对称性的结构重新引导的辐射的所检测的光瞳表示,其中所述结构的与所述名义实体配置不同的实体配置造成所述光瞳表示中的不对称光学特性分布;处理所述光瞳表示以减去跨越对称轴的光学特性值,以便减小或消除所述光瞳表示中的对称光学特性分布的光学特性值;以及由硬件计算机系统基于来自经处理的光瞳表示的光学特性值来确定所述图案化过程参数的值。
在实施例中,所述图案化过程参数是重叠,并且所述不同的实体配置是所述结构的至少一部分相对于所述结构的另一部分的移位。在实施例中,所述光瞳表示主要是零阶辐射。在实施例中,来自具有对于不同实体配置的较大灵敏度的经处理的光瞳表示的像素的光学特性值提供了与来自具有对于不同实体配置的较低灵敏度的所检测辐射的其它像素的光学特性值相比对于确定所述图案化过程参数的所述值的较大贡献。在实施例中,使用针对所述光瞳表示的多个像素的、由用于每个像素的光学特性值乘以用于该像素的相关联加权的总和,来确定所述图案化过程参数的所述值。在实施例中,所述光学特性是强度和/或相位。在实施例中,所述结构是器件结构。在实施例中,所述结构是在包括器件结构的衬底管芯内的非器件结构。在实施例中,在用以产生所述结构的蚀刻过程之后检测所述辐射。在实施例中,确定包括:根据所述光学特性值,以与也能够根据相同光学特性值而获得的针对所述结构的第二图案化过程参数的值分离的方式来确定所述结构的第一图案化过程参数的值,其中所述第一图案化过程参数在与所述第二图案化过程参数不同的方向上、或在与所述第二图案化过程参数不同的所述结构的部分的组合之间。
在实施例中,提供一种确定图案化过程的参数的方法,所述方法包括:获得由在名义实体配置的情况下具有几何对称性的结构重新引导的辐射的所检测的表示,其中所述辐射的所检测的表示是通过利用辐射束来照射衬底使得所述衬底上的束斑填充有所述结构而获得的;以及由硬件计算机系统基于来自所检测的辐射表示的不对称光学特性分布部分的光学特性值来确定所述图案化过程参数的值,所述不对称光学特性分布部分相比于所检测的辐射表示的另一部分具有更高的权重,所述不对称光学特性分布源自所述结构的与所述名义实体配置不同的实体配置。
在实施例中,所述图案化过程参数是重叠,并且所述不同的实体配置是所述结构的至少一部分相对于所述结构的另一部分的移位。在实施例中,所检测的辐射表示是光瞳表示。在实施例中,所检测的辐射主要是零阶辐射。在实施例中,处理所检测的辐射表示以减去跨越对称轴的光学特性值,以便减小或消除所检测的辐射表示的对称光学特性分布的光学特性值。在实施例中,使用针对所检测的辐射表示的多个像素的、由用于每个像素的光学特性值乘以用于该像素的相关联加权的总和,来确定所述图案化过程参数的所述值。在实施例中,所述光学特性是强度和/或相位。在实施例中,所述结构是器件结构。在实施例中,所述结构是在包括器件结构的衬底管芯内的非器件结构。在实施例中,所述加权被配置成导致将要针对所述不同的实体配置、以与也能够根据相同光学特性值而获得的所述图案化过程参数的第二类型分离的方式来确定所述图案化过程参数的第一类型,其中图案化过程参数的所述第一类型在与所述图案化过程参数的所述第二类型不同的方向上、或在与图案化过程参数的所述第二类型不同的所述单位单元的部分的组合之间。在实施例中,所述方法还包括加权,所述加权被配置成导致将要针对所述不同的实体配置来确定所述图案化过程参数的所述第二类型。
在实施例中,提供一种确定图案化过程的参数的方法,所述方法包括:获得由在所述参数的名义值的情况下具有几何对称性的结构重新引导的辐射的所检测的表示,其中所述辐射的所检测的表示是通过利用辐射束来照射衬底使得所述衬底上的束斑填充有所述结构而获得的,并且其中在所述参数的非名义值的情况下,所述结构的所述实体配置造成在所检测的辐射表示中的不对称光学特性分布;并且由硬件计算机系统基于针对所检测的辐射表示的多个像素的、由用于每个像素的光学特性值乘以用于该像素的相关联加权的总和,来确定所述结构的所述参数的非名义值,其中所检测的辐射表示的所述不对称光学特性分布中的用于像素的所述加权不同于所检测的辐射表示的对称光学特性分布部分中的用于像素的所述加权。
在实施例中,所述参数包括重叠。在实施例中,所检测的辐射表示是光瞳表示。在实施例中,所检测的辐射主要是零阶辐射。在实施例中,处理了所检测的辐射表示以减去跨越对称轴的光学特性值,以便减小或消除所检测的辐射表示的所述对称光学特性分布的所述光学特性值。在实施例中,所述光学特性是强度和/或相位。在实施例中,所述结构是器件结构。在实施例中,所述结构是在包括器件结构的衬底管芯内的非器件结构。在实施例中,所述参数包括重叠且所述加权被配置成以与也能够根据相同光学特性值而获得的针对所述结构的重叠的第二类型分离的方式来确定针对所述结构的重叠的第一类型。在实施例中,所述方法还包括加权,所述加权被配置成以与针对所述结构的重叠的所述第一类型分离的方式、根据所述相同光学特性值来确定针对所述结构的重叠的所述第二类型。
在实施例中,提供一种方法,所述方法包括:获得由在名义实体配置的情况下具有几何对称性的结构重新引导的辐射的所检测的表示,其中所述结构的与所述名义实体配置不同的实体配置造成在所检测的表示中的不对称光学特性分布,并且图案化过程参数测量了所述实体配置的改变;和由硬件计算机系统使用重构过程来确定在所述不同的实体配置的情况下的所述图案化过程参数的值,所述重构过程处理从所检测的表示所导出的光学特性值。
在实施例中,所述方法还包括处理所述表示以减去跨越对称轴的光学特性值,以便减小或消除所述表示中的对称光学特性分布的所述光学特性值,并且所述确定包括使用重构过程来确定所述图案化过程参数的所述值,所述重构过程处理从经处理的所检测的表示而导出的光学特性值。在实施例中,所述重构过程涉及使用所述结构的数学模型以产生由所述结构重新引导的辐射的模拟表示,来用于与从所检测的表示所导出的所述光学特性值进行比较。在实施例中,所述数学模型基于从所述结构的实例的测量结果所导出的所述结构的轮廓。在实施例中,所述重构过程涉及将从所检测的表示所导出的所述光学特性值相对于由所述结构重新引导的辐射的经模拟的表示的库进行比较。
在实施例中,提供一种方法,所述方法包括:获得由在名义实体配置的情况下具有几何对称性的结构重新引导的辐射的所检测的表示,其中所述结构的与所述名义实体配置不同的实体配置造成在所检测的表示中的不对称光学特性分布,并且图案化过程参数测量了所述实体配置中的改变;和由硬件计算机系统使用非线性求解器来确定在所述不同的实体配置的情况下的所述图案化过程参数的值,所述非线性求解器处理从所检测的表示所导出的光学特性值。
在实施例中,所述非线性求解器对函数进行求解,其中所述函数的一个或更多个变量项仅由具有所述图案化过程参数作为奇数幂的变量的一个或更多个变量项组成,和/或由具有所述图案化过程参数作为所述变量(与作为变量的所述结构的另一参数相组合)的一个或更多个变量项组成。在实施例中,所述方法还包括处理所述表示以减去跨越对称轴的光学特性值,以便减小或消除在所述表示中的对称光学特性分布的所述光学特性值,并且使用非线性求解器来确定所述图案化过程参数的所述值,所述非线性求解器处理从经处理的所检测的表示所导出的光学特性值。
在实施例中,提供一种对于参数确定过程进行配置的方法,所述方法包括:获得结构的数学模型,所述数学模型被配置成当利用辐射束来照射所述结构时预测光学响应,并且所述结构在名义实体配置的情况下具有几何对称性;由硬件计算机系统使用所述数学模型来模拟所述结构的所述实体配置中的某一量的扰动,以确定在多个像素中的每个像素中的所述光学响应的对应改变以获得多个像素灵敏度;以及基于所述像素灵敏度,确定为了产生与所述实体配置的改变相关联的参数的值而与衬底上的所述结构的所测量的像素光学特性值相结合的多个权重,每个权重对应于一像素。
在实施例中,所述参数是重叠,并且所述不同的实体配置是所述结构的至少一部分相对于所述结构的另一部分的移位。在实施例中,所述光学响应包括呈光瞳图像的形式的光学特性。在实施例中,所述光学响应主要是零阶辐射。在实施例中,确定所述权重包括使用雅可比矩阵。在实施例中,确定所述权重包括使用海森矩阵。在实施例中,确定所述权重包括使用摩尔-彭若斯伪逆。在实施例中,所述权重被配置成使得可以使用针对所检测的辐射表示的多个像素的、由用于每个像素的光学特性值乘以所述多个权重中的与该像素相关联的权重的总和,来确定所述参数的所述值。在实施例中,所述光学特性是强度和/或相位。在实施例中,所述结构是器件结构。在实施例中,所述结构是在包括器件结构的衬底管芯内的非器件结构。在实施例中,所述方法还包括为了获得所测量的像素光学特性值而确定测量设定的集合,测量设定的所述集合对应于所述多个权重。在实施例中,测量设定的所述集合包括选自以下中的一项或更多项:测量束的波长、所述测量束的偏振、所述测量束的剂量,和/或由检测器传感器获得的所述结构的一个特定照射的多次光学特性读数。在实施例中,获得所述数学模型包括:对包括所述结构的一个或更多个衬底执行CD测量且相对于所述CD测量来校准所述数学模型,以获得针对所述结构的所述实体配置的扰动的所述结构的名义轮廓。在实施例中,所述方法还包括:测量由多个结构重新引导的辐射的光学特性值,所述多个结构具有已知的不同的实体配置和所述参数的相关联的预期值;将所述权重和所测量的光学特性值进行组合以确定用于所述已知的不同的实体配置中的每个实体配置的所述参数的值;和利用所述参数的所述预期值来评估所述参数的所确定的值;以及响应于所述评估,调整所述数学模型的参数和/或调整所述权重中的一个或更多个权重。
在实施例中,提供一种方法,所述方法包括:由硬件计算机系统使用结构的数学模型以当利用辐射束来照射所述结构时预测光学响应,所述结构在名义实体配置的情况下具有几何对称性且图案化过程参数测量所述实体配置的改变;和由所述硬件计算机系统使用非线性求解器以基于所述光学响应来确定所述图案化过程参数的数学函数的系数作为所述数学函数的变量,在与所述名义实体配置不同的实体配置(其造成在所检测的表示中的不对称光学特性分布)的情况下,所确定的系数和所述函数与来自所述衬底上的所述结构的所检测的辐射的所测量的表示一起使用,以确定用于所测量的结构的所述图案化过程参数的值。在实施例中,所述方法包括使用所述数学模型来模拟所述结构的所述实体配置中的某一量的扰动以确定所述光学响应的对应改变,并且其中确定所述系数使用了改变后的光学响应。在实施例中,所述方法还包括:获得由所述衬底上的具有所述不同的实体配置的所述结构重新引导的辐射的所检测的表示,和使用非线性求解器确定所述图案化过程参数的值,所述非线性求解器处理从所检测的表示所导出的光学特性值且使用所确定的系数。在实施例中,所述非线性求解器对函数进行求解,其中所述函数的一个或更多个变量项仅由具有所述图案化过程参数作为奇数幂的变量的一个或更多个变量项组成,和/或由具有所述图案化过程参数作为所述变量(与作为变量的所述结构的另一参数相接合)的一个或更多个变量项组成。在实施例中,所述方法还包括处理所述光学响应以减去跨越对称轴的光学特性值以便减小或消除所述光学响应中的对称光学特性分布的所述光学特性值,并且基于从经处理的光学响应导出的光学特性值来确定所述系数。在实施例中,所述数学模型使用所述结构的名义轮廓,所述名义轮廓从为了获得所述结构的所述名义轮廓而相对于CD测量进行的所述数学模型的校准导出。在实施例中,所述系数包括用于所述光学响应中的多个像素中的每个像素的系数的集合。
在实施例中,提供一种方法,所述方法包括:获得针对由图案化过程而产生的结构的不同实例的测量结果,其中在图案化过程参数的多个不同设定值中的每个设定值的情况下获得测量结果,所述图案化过程参数测量所述结构的实体配置的改变,并且所述图案化过程参数的每个不同设定值对应于所述结构的造成辐射表示中的不对称光学特性分布的实体配置;和由硬件计算机系统确定多个数据驱动值,所述多个数据驱动值对应于为了产生所述图案化过程参数的值而与所述结构的另外实例的所测量的光学特性值相结合的权重,其中将所述设定值和所述测量结果用于目标或评价函数或机器学习算法中,以确定所述数据驱动值。
在实施例中,所述方法还包括使用所确定的数据驱动值来修改所述结构的数学模型,和使用所述数学模型以导出用于与所述结构的所述另外实例的所测量的光学特性值相结合的所述权重。在实施例中,所述方法还包括使用所述数学模型的海森矩阵以更新体现在所述数学模型中的所述结构的名义轮廓的值。在实施例中,所述方法还包括使用经修改的数学模型的海森矩阵以计算用于与所述结构的所述另外实例的所测量的光学特性值相结合的所述权重。在实施例中,所述测量结果是由所述结构的所述不同实例重新引导的辐射的多个所检测的表示。在实施例中,通过利用辐射束来照射衬底使得所述衬底上的束斑填充有所述结构,来获得所述辐射的所检测的表示。在实施例中,所述方法还包括产生预期将要由所述结构的实例重新引导且预期用于所述图案化过程中的变化的辐射的一个或更多个合成表示,并且其中确定所述多个数据驱动值是基于所述设定值、所述测量结果和所述一个或更多个合成表示。在实施例中,通过使用所述数学模型的海森矩阵来产生辐射的所述一个或更多个合成表示。在实施例中,使用非线性模拟来创建辐射的所述一个或更多个合成表示。在实施例中,所述图案化过程参数是重叠。在实施例中,所述方法还包括基于与所述结构的所述另外实例的所测量的光学特性值相结合的所述多个权重来确定用于所述结构的所述另外实例的所述图案化过程参数的所述值。在实施例中,所测量的光学特性值中的每个光学特性值对应于光瞳表示中的像素,并且包括基于针对所述光瞳表示的多个像素的、由用于每个像素的所测量的光学特性值乘以用于该像素的相关联的加权的总和,来确定用于所述另外实例的所述图案化过程参数的所述值,其中用于所述光瞳表示的不对称光学特性分布部分中的像素的所述加权不同于用于所述光瞳表示的对称光学特性分布部分中的像素的所述加权。
在实施例中,提供一种确定图案化过程的参数的方法,所述方法包括:获得由在所述参数的名义值的情况下具有几何对称性的单位单元的一个或更多个实体实例重新引导的辐射的所检测的表示,其中,并且其中所述辐射的所检测的表示是通过利用辐射束来照射衬底使得所述衬底上的束斑填充有所述单位单元的所述一个或更多个实体实例而获得的;和由硬件计算机系统且根据来自所检测的辐射表示的光学特性值,以与也能够根据相同光学特性值而获得的针对所述单位单元的参数的第二类型分离的方式,来确定针对所述单位单元的所述参数的第一类型的值,其中所述参数的所述第一类型是针对与所述参数的所述第二类型不同的方向、或针对与所述参数的所述第二类型不同的所述单位单元的部分的组合之间。
在实施例中,所述参数包括重叠。在实施例中,参数的所述第一类型和所述第二类型是针对不同方向以及针对所述单位单元的相同的第一部分和第二部分。在实施例中,参数的所述第一类型是针对与参数的所述第二类型不同的所述单位单元的部分的组合之间。在实施例中,所述方法还包括:在确定参数的所述第一类型的所述值时,根据所述相同光学特性值确定参数的所述第二类型的值。在实施例中,使用用于像素光学特性值的权重的集合,来确定参数的所述第一类型的所述值。在实施例中,使用针对所检测的辐射表示的多个像素、由用于每个像素的光学特性值乘以用于该像素的相关联的加权的总和,来确定参数的所述第一类型的所述值。在实施例中,来自具有对于利用所述参数测量到的物理效应的较大灵敏度的所检测的辐射表示的像素的光学特性值提供了与来自具有对于利用所述参数测量到的物理效应的较低灵敏度的所检测的辐射的其它像素的光学特性值相比对于确定参数的所述第一类型的所述值的较大贡献。在实施例中,所检测的辐射主要是零阶辐射。在实施例中,所检测的辐射表示是光瞳表示。在实施例中,处理了所检测的辐射表示以减去跨越对称轴的光学特性值,以便减小或消除所检测的辐射表示的对称光学特性分布的光学特性值。在实施例中,所述光学特性是强度和/或相位。在实施例中,所述结构是器件结构。在实施例中,所述结构是包括在器件结构的衬底管芯内的非器件结构。在实施例中,在用于产生所述结构的蚀刻过程之后检测所检测的辐射表示。
在实施例中,提供一种确定图案化过程的参数的方法,所述方法包括:获得由在所述参数的名义值的情况下具有几何对称性的单位单元的一个或更多个实体实例重新引导的辐射的所检测的表示,并且其中所述辐射的所检测的表示是通过利用辐射束来照射衬底使得所述衬底上的束斑填充有所述单位单元的所述一个或更多个实体实例而获得的;和由硬件计算机系统、且根据来自所检测的辐射表示的光学特性值,以与能够根据相同光学特性值而获得的用于所述单位单元的所述第二部分与所述单位单元的第三部分之间、或用于所述单位单元的所述第三部分与所述单位单元的第四部分之间的所述参数的值分离的方式,来确定用于所述单位单元的第一部分与所述单位单元的第二部分之间的所述参数的值。
在实施例中,所述参数包括重叠。在实施例中,所述方法还包括根据所述光学特性值、以与用于所述单位单元或每个单位单元的所述第一部分与所述第二部分之间的所述参数的值分离的方式,来确定用于所述单位单元或每个单位单元的所述第二部分与所述第三部分之间、或所述单位单元或每个单位单元的所述第三部分与所述第四部分之间的所述参数的值。在实施例中,确定所述参数值使用了用于像素光学特性值的权重的集合。在实施例中,使用针对所检测的辐射表示的多个像素的、由用于每个像素的光学特性值乘以用于该像素的相关联的加权的总和,来确定所述参数值。在实施例中,来自具有对于利用所述参数而测量的物理效应的较大灵敏度的所检测的辐射表示的像素的光学特性值提供了与来自具有对于利用所述参数而测量的物理效应的较低灵敏度的所检测的辐射表述的其它像素的光学特性值相比对于确定所述参数值的较大贡献。在实施例中,所检测的辐射主要是零阶辐射。在实施例中,所检测的辐射表示是光瞳表示。在实施例中,所检测的辐射表示被处理以减去跨越对称轴的光学特性值,以便减小或消除所检测的辐射表示的对称光学特性分布的光学特性值。在实施例中,所述光学特性是强度和/或相位。在实施例中,所述结构是器件结构。在实施例中,所述结构是在包括器件结构的衬底管芯内的非器件结构。在实施例中,在用以产生所述结构的蚀刻过程之后检测所述辐射。
在实施例中,提供一种对于参数确定过程进行配置的方法,所述方法包括:获得衬底上的结构的数学模型,所述模型被配置成在利用辐射束来照射所述结构时预测光学响应,并且所述结构在名义参数值的情况下下具有几何对称性;由硬件计算机系统使用所述模型以模拟所述结构的所述参数的第一类型的改变来确定多个像素中的每个像素中的所述光学响应的对应第一改变,并且模拟所述参数的第二类型的改变来确定所述多个像素中的每个像素中的所述光学响应的对应第二改变,其中参数的所述第一类型是针对与参数的所述第二类型不同的方向上、或针对与参数的所述第二类型不同的所述结构的部分的组合之间;以及基于所述光学响应中的所述第一改变和所述第二改变,确定用于与所测量的像素光学特性值相结合的多个权重,以与参数的所述第二类型的值分离的方式,根据相同的所测量的光学特性值来产生参数的第一类型的值。
在实施例中,所述参数包括重叠。在实施例中,相对于与依据所述多个像素的光学响应中的所述第二改变的参数的所述第二类型的所述改变相对应的向量正交的向量,使用与依据所述多个像素的光学响应中的所述第一改变的参数的所述第一类型的所述改变相对应的向量的背向投影的结果,来确定用于参数的所述第一类型的所述多个权重。在实施例中,所述方法还包括:基于所述光学响应中的所述第一改变和所述第二改变,确定用于与所测量的像素光学特性值相结合的多个权重,以与参数的所述第一类型分离的方式、根据所测量的光学特性值来产生参数的第二类型的值。在实施例中,相对于与依据所述多个像素的光学响应中的所述第一改变的参数的所述第一类型的所述改变相对应的向量正交的向量,使用与依据所述多个所述像素的光学响应中的所述第二改变的参数的所述第二类型的所述改变相对应的向量的背向投影的结果,来确定用于参数的所述第二类型的所述多个权重。在实施例中,所述权重被配置成使得:使用针对所检测的辐射表示的多个像素的、由用于每个像素的光学特性值乘以用于该像素的相关联的权重的总和,来确定参数的所述第一类型和/或所述第二类型。在实施例中,所述光学响应包括呈光瞳图像的形式的光学特性。在实施例中,所述光学响应主要是零阶辐射。在实施例中,所述光学特性是强度和/或相位。在实施例中,所述结构是器件结构。在实施例中,所述结构是在包括器件结构的衬底管芯内的非器件结构。
在实施例中,提供一种量测目标,所述量测目标包括:第一结构,所述第一结构被布置成由第一图案化过程创建;和第二结构,所述第二结构被布置成由第二图案化过程创建,其中所述第一结构和/或所述第二结构不用于创建器件图案的功能方面,并且其中所述第一结构和所述第二结构一起形成单位单元的一个或更多个实例,所述单位单元在名义实体配置的情况下具有几何对称性,并且其中所述单位单元具有一特征,所述特征在与所述名义实体配置不同的实体配置的情况下,由于所述第一图案化过程、所述第二图案化过程和/或另一图案化过程中的图案放置的相对移位而造成所述单位单元中的不对称性。
在实施例中,所述第一结构包括具有第一尺寸和/或材料的结构,并且所述第二结构包括具有第二尺寸或材料的结构,其中所述特征包括与所述第二尺寸和/或材料不同的所述第一尺寸和/或材料。在实施例中,所述第一结构包括在第一方向上以阵列形式布置的结构,并且至少一个这样的结构包括由沿着大体上垂直于所述第一方向的第二方向布置的空隙分离开的多个子结构;和/或所述第二结构包括在第一方向上以阵列形式布置的结构,并且至少一个这样的结构包括由沿着大体上垂直于所述第一方向的第二方向布置的空隙分离开的多个子结构,其中所述特征包括所述第一结构和/或所述第二结构的所述空隙。在实施例中,所述第一结构和/或所述第二结构的所述空隙是使用与所述第一图案化过程和所述第二图案化过程不同的图案化过程而产生的。在实施例中,所述第一结构包括所述空隙且所述第二结构包括所述空隙。在实施例中,所述第一结构的所述空隙具有与所述第二结构的所述空隙不同的间距。在实施例中,在所述名义实体配置的情况下,所述第一结构的至少一个空隙与所述第二结构的至少一个空隙对齐。在实施例中,所述第一结构包括闭合曲线结构且所述第二结构包括闭合曲线结构。在实施例中,在与所述结构被布置成所述第二阵列的形式或所述结构被布置成结构的第三阵列的形式的方向大体上垂直的方向上,所述结构被布置成所述第一阵列的形式。
在实施例中,提供一种计算机程序产品,所述计算机程序产品包括其上记录有数据结构的计算机非暂时性可读介质,所述数据结构对应于如本文中描述的量测目标。在实施例中,提供一种掩模版,所述掩模版包括与如本文中所描述的量测目标对应的图案。
在实施例中,提供一种方法,所述方法包括:创建用于量测目标的第一结构,所述第一结构将要由创建器件的对应器件特征的第一图案化过程来创建;创建用于所述量测目标的第二结构,所述第二结构将要由创建器件的另外的对应器件特征的第二图案化过程来创建,其中所述第一结构和所述第二结构一起形成单位单元的一个或更多个实例,所述单位单元在名义实体配置的情况下具有几何对称性;以及将特征引入所述量测目标中,这在与所述名义实体配置不同的实体配置的情况下,由于所述器件中的器件特征的部位从所述器件中的所述器件特征的预期部位的相对移位而造成了所述单位单元中的不对称性。
在实施例中,所述第一结构的特征具有与所述器件的所述对应特征大体上相同的尺寸和/或间距,和/或所述第二结构的特征具有与所述器件的所述对应特征大体上相同的尺寸和/或间距。在实施例中,所述量测目标中的所述特征针对在第一方向上的相对移位而造成所述单位单元中的第一类型的不对称性,且针对在不同的第二方向上的相对移位而造成所述单位单元中的不同的第二类型的不对称性。在实施例中,所述方法还包括评估选自以下中的一项或更多项:所述量测目标的可印制性、所述量测目标的可检测性、所述量测目标对于过程变化的稳固性,和/或所述量测目标与器件图案的匹配。在实施例中,所述方法包括迭代地评估所述量测目标与器件图案的匹配和所述量测目标的可检测性。
在实施例中,提供一种方法,所述方法包括:测量由如本文中描述的量测重新引导的辐射,所述辐射使用图案化过程而转印至衬底以确定所述图案化过程的参数的值。在实施例中,所述参数包括重叠和/或边缘放置误差。
在实施例中,提供一种方法,所述方法包括:获得由来自衬底的多个结构中的每个结构重新引导的辐射的所检测的表示,所述衬底的上方另外具有器件图案,其中每个结构具有相应结构的与所述相应结构的相应名义实体配置相比有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的有意不同的实体配置造成不对称光学特性分布,并且其中图案化过程参数测量所述实体配置的改变;和由硬件计算机系统基于所检测的表示且基于所述有意不同的实体配置来确定值,来设置、监控或校正用于确定所述图案化过程参数的测量选配方案。
在实施例中,所述多个结构形成一个或更多个实验设计(DoE)目标区域,每个DoE目标区域对应于同一图案化过程参数的一种或更多种特定类型。在实施例中,所述多个结构形成多个DoE目标区域,每个DoE目标区域对应于同一图案化过程参数的一种或更多种类型的不同集合。在实施例中,所述多个结构的至少一个结构具有所述相应结构的有意不同的实体配置的连续变化。在实施例中,将所述结构限制至/约束于小于或等于约2500μm2的面积。在实施例中,所述方法还包括基于所述值来设置或校正所述测量选配方案。在实施例中,所述图案化过程参数包括重叠。在实施例中,所述多个结构具有与至少三种类型的重叠相对应的不同配置。
在实施例中,提供一种方法,所述方法包括:获得从来自衬底的量测目标的多个实验设计(DoE)目标区域中的每个实验设计(DoE)目标区域重新引导的辐射的所检测的表示,其中每个DoE目标区域具有一结构,所述结构具有与所述相应结构的所述相应名义实体配置相比所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中每个DoE目标区域与某一相同的图案化过程参数的一种或更多种类型的不同集合相关联,一个或更多个图案化过程参数类型的每个集合测量出所述相关联的DoE目标区域的所述实体配置的相应改变;和由硬件计算机系统,基于所检测的表示、且基于所述有意不同的实体配置来确定值,以设置、监控或校正用于确定所述图案化过程参数的测量选配方案。
在实施例中,每个DoE目标区域包括多个子目标,每个子目标具有不同的偏移,从而创建与所述子目标的结构的相应名义实体配置相比所述子目标的结构的所述有意不同的实体配置。在实施例中,存在至少15个DoE目标区域。在实施例中,所述多个DoE目标区域的至少一个结构具有所述相应结构的有意不同的实体配置的连续变化。在实施例中,所述方法还包括基于所述值来设置或校正所述测量选配方案。在实施例中,所述图案化过程参数包括重叠。在实施例中,所述多个DoE目标区域具有与至少三种类型的重叠对应的不同配置。
在实施例中,提供一种方法,所述方法包括:将图案从图案形成装置转印至衬底;和使用所转印的图案来形成具有多个实验设计(DoE)目标区域的量测目标的至少一部分,其中每个实验设计(DoE)目标区域具有一结构,所述结构具有与所述相应结构的所述相应名义实体配置相比所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中每个实验设计(DoE)目标区域与某一相同的图案化过程参数的不同类型相关联,每个图案化过程参数类型测量所述相关联的子目标的实体配置的相应改变。
在实施例中,所述方法还包括基于来自所述子目标的所检测的表示、且基于所述有意不同的实体配置来确定值,以设置、监控或校正用于确定所述图案化过程参数的测量选配方案。在实施例中,所述量测目标具有小于或等于约2500μm2的面积。在实施例中,所述多个DoE目标区域的至少一个结构具有所述相应结构的所述有意不同的实体配置的连续变化。在实施例中,所述图案化过程参数包括重叠。在实施例中,所述多个DoE目标区域具有与至少三种类型的重叠相对应的不同配置。
在实施例中,提供一种图案化器件图案,所述图案化器件图案包括:器件图案;和量测目标图案,所述量测目标图案与所述器件图案分离且用于形成量测目标以用于设置、监控或校正用以确定图案化过程参数的测量选配方案,所述测量选配方案基于由结构重新引导的辐射的所检测的表示来测量所述结构的实体配置的改变,其中在名义实体配置的情况下具有几何对称性、且具有与所述名义实体配置不同的所述结构的实体配置的所述结构造成了不对称光学特性分布,所述量测目标图案包括:与将要使用所述图案形成于所述衬底上的多个目标结构中的每个目标结构对应的图案,其中每个目标结构具有与相应目标结构的相应名义实体配置相比的所述相应结构的有意不同的实体配置,其中每个目标结构在所述相应名义实体配置的情况下具有几何对称性,其中所述目标结构的有意不同的实体配置造成不对称光学特性分布,并且其中所述图案化过程参数测量所述目标结构的所述实体配置的改变。
在实施例中,与所述目标结构相对应的所述图案形成了在所述衬底处的一个或更多个实验设计(DoE)目标区域的至少一部分,每个DoE目标区域对应于同一图案化过程参数的一种或更多种特定类型。在实施例中,与所述目标结构相对应的所述图案形成了在所述衬底处的多个DoE目标区域的至少一部分,每个DoE目标区域对应于同一图案化过程参数的一种或更多种类型的不同集合。在实施例中,所述衬底处的所述量测目标具有小于或等于约2500μm2的面积。在实施例中,所述图案化过程参数包括重叠。在实施例中,所述目标结构具有与至少三种类型的重叠相对应的不同配置。
在实施例中,提供一种用于设置、监控或校正用以确定图案化过程参数的测量选配方案的量测目标,所述测量选配方案基于由结构重新引导的辐射的所检测的表示来测量所述结构的实体配置的改变,其中在名义实体配置的情况下具有几何对称性、且具有与所述名义实体配置不同的结构的实体配置的所述结构造成了在所检测的表示中的不对称光学特性分布,所述量测目标包括:多个实验设计(DoE)目标区域,其中每个实验设计(DoE)目标区域具有一结构,所述结构具有与相应结构的相应名义实体配置相比所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中每个实验设计(DoE)目标区域与某一相同的图案化过程参数的不同类型相关联,每个图案化过程参数类型测量所述相关联的子目标的实体配置的相应改变。
在实施例中,至少一个DoE目标区域包括多个子目标,每个子目标具有其相应结构的实体配置的不同变化。在实施例中,所述DoE目标区域被布置成栅格。在实施例中,所述量测目标具有小于或等于约2500μm2的面积。在实施例中,所述多个DoE目标区域的至少一个结构具有所述相应结构的所述有意不同的实体配置的连续变化。在实施例中,所述图案化过程参数包括重叠。在实施例中,所述多个DoE目标区域具有与至少三种类型的重叠相对应的不同配置。
参考图39,示出计算机系统3900。计算机系统3900包括用于通信信息的总线3902或其它通信机构,和与总线3902联接以用于处理信息的处理器3904(或多个处理器3904和3905)。计算机系统3900也包括联接至总线3902以用于储存待由处理器3904执行的信息和指令的主存储器3906,诸如随机存取存储器(RAM)或其它动态储存器件。主存储器3906也可以用于在待由处理器3904执行的指令的执行期间储存暂时性变量或其它中间信息。计算机系统3900还包括联接至总线3902以用于储存用于处理器3904的静态信息和指令的只读存储器(ROM)3908或其它静态储存器件。提供诸如磁盘或光盘之类的储存器件3910,并且所述储存器件被联接至总线3902以用于储存信息和指令。
计算机系统3900可以经由总线3902联接至用于向计算机用户显示信息的显示器3912,诸如阴极射线管(CRT)显示器或平板显示器或触控面板显示器。包括字母数字按键和其它按键的输入装置3914联接至总线3902以用于将信息和命令选择通信至处理器3904。另一类型的使用者输入装置是用于将方向信息和命令选择通信至处理器3904且用于控制显示器3912上的光标移动的光标控制件3916,诸如鼠标、轨迹球、或光标方向键。这种输入装置典型地具有在两个轴(即第一轴(例如,x)和第二轴(例如,y))上的两个自由度,允许所述器件指定在平面中的位置。触控面板(屏幕)显示器也可以用作输入装置。
计算机系统3900可以适合于响应于处理器3904来执行在主存储器3906中所包含的一个或更多个指令的一个或更多个序列来充当本文中的处理单元。可以将这些指令从另一计算机可读介质(诸如储存器件3910)读取至主存储器3906中。主存储器3906中所包含的指令序列的执行导致处理器3904执行本文中所描述的过程。呈多处理布置的一个或更多个处理器也可以用来执行在所述主存储器3906中所包含的指令序列。在替代实施例中,可以代替或结合软件指令来使用硬连线电路系统。因而,实施例不限于硬件电路系统与软件的任何特定组合。
如本文中所使用术语“计算机可读介质”是指参与将指令提供至处理器3904以供执行的任何介质。这种介质可采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如储存器件3910。易失性介质包括动态存储器,诸如主存储器3906。传输介质包括同轴缆线、铜线和光纤,包括了包含总线3902的线缆。传输介质也可以采取声波或光波的形式,诸如在射频(RF)和红外线(IR)数据通信期间所产生的声波或光波。计算机可读介质的常见形式包括例如软性磁盘、软磁盘、硬盘、磁带、任何其它磁性介质、CD-ROM、DVD、任何其它光学介质、打孔卡、纸带、具有孔图案的任何其它实体介质、RAM、PROM和EPROM、FLASH-EPROM、任何其它存储器芯片或卡匣、如下文描述的载波,或可供计算机读取的任何其它介质。
可以在将一个或更多个指令的一个或更多个序列载运至处理器3904以供执行时涉及各种形式的计算机可读介质。例如,最初可以将所述指令承载于远程计算机的磁盘上。远程计算机可以将指令加载至其动态存储器中,并且使用调制解调器经由电话线来发送指令。在计算机系统3900本地的调制解调器可以接收电话线上的数据,并且使用红外传输器将所述数据转换成红外信号。联接至总线3902的红外检测器可以接收在红外信号中所承载的数据且将数据放置于总线3902上。总线3902将数据载运至主存储器3906,处理器3904从所述主存储器3906获取和执行指令。由主存储器3906所接收的指令可以可选地在由处理器3904执行之前或之后储存于储存器件3910上。
计算机系统3900也可以包括联接至总线3902的通信接口3918。通信接口3918提供对网络链路3920的双向数据通信联接,网络链路3920连接至局域网络3922。例如,通信接口3918可以是综合业务数字网(ISDN)卡或调制解调器以提供通往对应类型电话线的数据通信连接。作为另一示例,通信接口3918可以是局域网(LAN)卡以提供通往兼容LAN的数据通信连接。也可以实施无线链路。在任何这种实施方式中,通信接口3918发送且接收承载表示各种类型的信息的数字数据流的电信号、电磁信号或光学信号。
网络链路3920典型地经过一个或更多个网络将数据通信提供至其它数据器件。例如,网络链路3920可以经过局域网络3922而向主计算机3924或向由因特网服务提供商(ISP)3926操作的数据装置提供连接。ISP 3926继而经过全球封包数据通信网络(现在通常被称作“因特网”)3928来提供数据通信服务。局域网3922和因特网3928两者都使用承载数字数据流的电信号、电磁信号或光学信号。经过各种网络的信号和在网络链路3920上且经过通信接口3918的信号(所述信号将数字数据承载至计算机系统3900和从计算机系统3900承载数字数据)是输送信息的示例性载波形式。
计算机系统3900可以经过网络、网络链路3920和通信接口3918发送消息和接收数据(包括程序代码)。在因特网示例中,服务器3930可能经过因特网3928、ISP 3926、局域网3922和通信接口3918而传输用于应用程序的所请求的代码。根据一个或更多个实施例,一个这样的被下载的应用程序提供如例如本文中所披露的方法。所接收的代码可以在其被接收时由处理器3904执行,和/或被储存于储存器件3910、或其它非易失性储存器中以供稍后执行。以这种方式,计算机系统3900可以获得呈载波的形式的应用代码。
本公开的实施例可采取如下形式:计算机程序,所述计算机程序包含描述如本文中所披露的方法的机器可读指令的一个或更多个序列;或数据储存介质(例如,半导体存储器、磁盘或光盘),其中储存有这种计算机程序。此外,机器可读指令可以体现于两个或更多个计算机程序中。所述两个或更多个计算机程序可以被储存在一个或更多个不同的存储器和/或数据储存介质上。
本文中描述的任何控制器可以在一个或更多个计算机程序由位于所述光刻设备的至少一个部件内的一个或更多个计算机处理器读取时各自地操作、或能够以组合方式而操作。所述控制器可以各自地或以组合方式具有用于接收、处理和发送信号的任何合适的配置。一个或更多个处理器被配置成与所述控制器中的至少一个控制器通信。例如,每个控制器可以包括用于执行包括用于上文描述的方法的机器可读指令的计算机程序的一个或更多个处理器。控制器可以包括用于储存这些计算机程序的数据储存介质,和/或用以接纳这种介质的硬件。因此,所述控制器可以根据一个或更多个计算机程序的机器可读指令而操作。
尽管在本文中可以具体地提及量测设备在IC制造中的使用,但应理解,本文中描述的量测设备和过程可以具有其它应用,诸如制造集成光学系统、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。本领域技术人员将了解到,在这些替代应用的情境下,可以认为本文中对术语“晶片”或“管芯”的任何使用分别与更上位的术语“衬底”或“目标部分”同义。可以在曝光之前或之后在例如涂覆显影系统或轨道(track)(即典型地将抗蚀剂层施加至衬底且显影经曝光的抗蚀剂的工具)、量测工具和/或一个或更多个各种其它工具中处理本文中提及的所述衬底。在适用情况下,可以将本文中的公开内容应用于这些和其它衬底处理工具。此外,可以将所述衬底处理多于一次,例如,以便创建多层IC,从而使得本文中所使用的术语衬底也可以指代已经包含多个经处理层的衬底。
尽管在上文可能已经具体地提及在光学光刻的情境下的本公开的实施例的使用,但应理解,本公开可以用于其它应用(例如,纳米压印光刻术)中,并且在情境允许的情况下不限于光学光刻术。在纳米压印光刻术的情况下,所述图案形成装置是压印模板或模具。
本文中所使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,包括紫外(UV)辐射(例如,具有为或为约365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外(EUV)辐射(例如,具有在5nm至20nm的范围内的波长);以及粒子束(诸如离子束或电子束)。
术语“透镜”在情境允许时可以指各种类型的光学部件中的任一种光学部件或其组合,包括折射型、反射型、磁性型、电磁型和静电型光学部件。
本文中对跨越或超过阈值的提及可以包括:其值低于特定值、或低于或等于特定值的某物;其值高于特定值、或高于或等于特定值的某物;其基于例如参数而排名(通过例如分类)成高于或低于其它事物的某物,等等。
本文中对校正误差或误差的校正的提及包括消除误差或将误差减小至容许范围内。
如本文中所使用的术语“优化”是指代或意味着调整光刻设备、图案化过程等,使得光刻或图案化处理的结果和/或过程具有更期望的特性,诸如设计布局在衬底上的投影的较高的准确度、较大的过程窗等。因而,如本文中所使用的术语“优化”是指代或意味着识别用于一个或更多个变量的一个或更多个值的过程,所述一个或更多个值与用于那些一个或更多个变量的一个或更多个值的初始集合相比提供了在至少一个相关指标方面的改善,例如局部最优。应相应地解释“最优”和其它相关术语。在实施例中,可以迭代地应用优化步骤,以提供一个或更多个指标的进一步改善。
在系统的优化过程中,可以将所述系统或过程的品质因数表示为成本函数。优化过程归结为找出优化(例如,最小化或最大化)所述成本函数的系统或过程的参数(设计变量)的集合的过程。所述成本函数可以根据优化的目标而具有任何合适的形式。例如,所述成本函数可以是系统或过程的某些特性(评估点)相对于这些特性的预期值(例如,理想值)的偏差的加权均方根(RMS);所述成本函数也可以是这些偏差的最大值(即,最差偏差)。本文中的术语“评估点”应被广泛地解释为包括系统或过程的任何特性。由于系统或过程的实施的实践性,则所述系统的设计变量可以被限于有限范围和/或可以是相互依赖的。在光刻设备或图案化过程的情况下,所述约束常常与硬件的物理属性和特性(诸如可调谐范围和/或图案形成装置可制造性设计规则)相关联,并且评估点可以包括衬底上的抗蚀剂图像上的实体点,以及诸如剂量和聚焦之类的非实体特性。
虽然上文已描述本公开的特定实施例,但应了解,可以与描述的方式不同的其它方式来实践本公开。例如,本公开可采取如下形式:计算机程序,所述计算机程序包含描述如上文所披露的方法的机器可读指令的一个或更多个序列;或数据储存介质(例如,半导体存储器、磁盘或光盘),其中储存有这种计算机程序。
在框图中,所图示的部件被描绘为离散的功能区块,但实施例不限于本文中描述的功能性如所图示来组织的系统。由部件中的每个部件所提供的功能性可以由软件或硬件模块提供,所述模块以与目前所描绘的方式不同的方式组织,例如,可以掺和、结合、复写、分解、分配(例如,在数据中心内或地理上),或以另外的不同的方式组织这种软件或硬件。本文中描述的功能性可以由执行储存在有形的非暂时性机器可读介质上的代码的一个或更多个计算机的一个或更多个处理器提供。在一些情况下,第三方内容分发网络可以托管在网络上传送的一些或全部信息,在这种情况下,在一定程度上信息(例如,内容)被认为被供给或以其它方式提供,所述信息可以通过发送指令以从内容分发网络获取所述信息而被提供。
除非另有具体陈述,否则根据所述论述,应理解的是,在整个本说明书中,运用诸如“处理”、“计算”、“确定”等术语的论述是指具体设备(诸如专用计算机、或类似的专用电子处理/计算装置)的动作或过程。
读者应了解,本申请描述若干发明。申请人已将这些发明分组成单个文件,而不是将那些发明分离成多个独立的专利申请,这是因为所述发明的相关主题可以在应用过程中有助于经济性。但不应合并这些发明的不同的优点和方面。在一些情况下,实施例解决本文中提及的所有缺陷,但应理解,所述发明是独立地有用的,并且一些实施例仅解决这些问题的子集或提供其它没有提及的益处,检阅本公开的本领域技术人员将明白所述益处。由于成本约束,目前可能无法主张本文中所披露的一些发明,并且可以在稍后的申请(诸如继续申请或通过修改本权利要求书)中主张所述发明。类似地,由于空间约束,本文档的摘要和发明内容的发明章节都不应被视为包含所有这些发明的全面清单或这些发明的所有方面。
应理解,描述和附图并不意图将本发明限于所披露的特定形式,而正相反,本发明意图涵盖属于如由所附权利要求限定的本发明的精神和范围内的所有修改、等效物和替代方案。
鉴于本说明书,本领域技术人员将明白本发明的各个方面的修改和替代实施例。因而,本说明书和附图应被理解为仅是说明性的且是出于教导本领域技术人员执行本发明的一般方式的目的。应理解,本文中所示出和描述的本发明的形式应被视为实施例的示例。元件和材料可以替代本文中所图示和描述的元件和材料,部分和过程可以被反转或被省略,可以独立地利用某些特征,并且可以将实施例或实施例的特征组合,所有这些在获得本发明的本说明书的益处之后对于本领域技术人员将是清楚的。可以在不背离如在下列权利要求中所描述的本发明的精神和范围的情况下对本文中描述的元件作出改变。本文中所使用的标题仅为了实现组织性目的,并且不意味着用于限制本说明书的范围。
在以下编号项目中描绘根据本发明的另外的实施例:
1.一种方法,所述方法包括:
获得由来自衬底的多个结构中的每个结构重新引导的辐射的所检测的表示,所述衬底的上方另外具有器件图案,其中每个结构具有与相应结构的相应名义实体配置相比所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中图案化过程参数测量所述实体配置的改变;和
由硬件计算机系统基于所检测的表示且基于所述有意不同的实体配置来确定值,以设置、监控或校正用于确定所述图案化过程参数的测量选配方案。
2.根据项目1所述的方法,其中所述多个结构形成一个或更多个实验设计(DoE)目标区域,每个DoE目标区域对应于同一图案化过程参数的一种或更多种特定类型。
3.根据项目2所述的方法,其中所述多个结构形成多个DoE目标区域,每个DoE目标区域对应于同一图案化过程参数的一种或更多种类型的不同集合。
4.根据项目1至3中任一项所述的方法,其中所述多个结构的至少一个结构具有所述相应结构的所述有意不同的实体配置的连续变化。
5.根据项目1至4中任一项所述的方法,其中所述结构被局限于小于或等于约2500μm2的面积。
6.根据项目1至5中任一项所述的方法,还包括基于所述值来设置或校正所述测量选配方案。
7.根据项目1至6中任一项所述的方法,其中所述图案化过程参数包括重叠。
8.根据项目7所述的方法,其中所述多个结构具有与至少三种类型的重叠相对应的不同配置。
9.一种方法,所述方法包括:
获得从来自衬底的量测目标的多个实验设计(DoE)目标区域中的每个实验设计(DoE)目标区域重新引导的辐射的所检测的表示,其中每个DoE目标区域具有一结构,所述结构具有与相应结构的相应名义实体配置相比所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中每个DoE目标区域与某一相同的图案化过程参数的一种或更多种类型的不同集合相关联,一个或更多个图案化过程参数类型的每个集合测量所述相关联的DoE目标区域的所述实体配置的相应改变;和
由硬件计算机系统基于所检测的表示且基于所述有意不同的实体配置来确定值,来设置、监控或校正用于确定所述图案化过程参数的测量选配方案。
10.根据项目9所述的方法,其中每个DoE目标区域包括多个子目标,每个子目标具有不同的偏移,以创建与所述子目标的结构的所述相应名义实体配置相比的所述子目标的所述结构的有意不同的实体配置。
11.根据项目9或项目10所述的方法,其中存在至少15个DoE目标区域。
12.根据项目9至11中任一项所述的方法,其中所述多个DoE目标区域的至少一个结构具有所述相应结构的所述有意不同的实体配置的连续变化。
13.根据项目9至12中任一项所述的方法,还包括基于所述值来设置或校正所述测量选配方案。
14.根据项目9至13中任一项所述的方法,其中所述图案化过程参数包括重叠。
15.根据项目14所述的方法,其中所述多个DoE目标区域具有与至少三种类型的重叠相对应的不同配置。
16.一种方法,所述方法包括:
将图案从图案形成装置转印至衬底;和
使用所转印的图案来形成具有多个实验设计(DoE)目标区域的量测目标的至少一部分,其中每个实验设计(DoE)目标区域具有一结构,所述结构具有与相应结构的相应名义实体配置相比所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中每个实验设计(DoE)目标区域与某一相同的图案化过程参数的不同类型相关联,每个图案化过程参数类型测量相关联的子目标的实体配置的相应改变。
17.根据项目16所述的方法,还包括基于来自所述子目标的所检测的表示、且基于所述有意不同的实体配置来确定值,以设置、监控或校正用于确定所述图案化过程参数的测量选配方案。
18.根据项目16或项目17所述的方法,其中所述量测目标具有小于或等于约2500μm2的面积。
19.根据项目16至18中任一项所述的方法,其中所述多个DoE目标区域的至少一个结构具有所述相应结构的所述有意不同的实体配置的连续变化。
20.根据项目16至19中任一项所述的方法,其中所述图案化过程参数包括重叠。
21.根据项目20所述的方法,其中所述多个DoE目标区域具有与至少三种类型的重叠相对应的不同配置。
22.一种图案化器件图案,所述图案化器件图案包括:
器件图案;和
量测目标图案,所述量测目标图案与所述器件图案分离且用于形成量测目标以用于设置、监控或校正用以确定图案化过程参数的测量选配方案,所述测量选配方案基于由结构重新引导的辐射的所检测的表示来测量所述结构的实体配置的改变,其中在名义实体配置的情况下具有几何对称性、且具有与所述名义实体配置不同的所述结构的实体配置的所述结构造成不对称光学特性分布,所述量测目标图案包括与将要使用所述图案形成于所述衬底上的多个目标结构中的每个目标结构对应的图案,其中每个目标结构具有与相应目标结构的相应名义实体配置相比的所述相应结构的有意不同的实体配置,其中每个目标结构在所述相应名义实体配置的情况下具有几何对称性,其中所述目标结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中所述图案化过程参数测量所述目标结构的所述实体配置的改变。
23.根据项目22所述的图案化器件图案,其中与所述目标结构相对应的所述图案形成位于所述衬底处的一个或更多个实验设计(DoE)目标区域的至少一部分,每个DoE目标区域对应于同一图案化过程参数的一种或更多种特定类型。
24.根据项目23所述的图案化器件图案,其中与所述目标结构相对应的所述图案形成位于所述衬底处的多个DoE目标区域的至少一部分,每个DoE目标区域对应于同一图案化过程参数的一种或更多种类型的不同集合。
25.根据项目22至24中任一项所述的图案化器件图案,其中所述衬底处的所述量测目标具有小于或等于约2500μm2的面积。
26.根据项目22至25中任一项所述的图案化器件图案,其中所述图案化过程参数包括重叠。
27.根据项目26所述的图案化器件图案,其中所述目标结构具有与至少三种类型的重叠相对应的不同配置。
28.一种用于设置、监控或校正用以确定图案化过程参数的测量选配方案的量测目标,所述测量选配方案基于由结构重新引导的辐射的所检测的表示来测量所述结构的实体配置的改变,其中在名义实体配置的情况下具有几何对称性、且具有与所述名义实体配置不同的所述结构的实体配置的所述结构造成所检测的表示中的不对称光学特性分布,所述量测目标包括:
多个实验设计(DoE)目标区域,其中每个实验设计(DoE)目标区域具有一结构,所述结构具有与相应结构的相应名义实体配置相比的所述相应结构的有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中每个实验设计(DoE)目标区域与某一相同的图案化过程参数的不同类型相关联,每个图案化过程参数类型测量相关联的子目标的实体配置的相应改变。
29.根据项目28所述的量测目标,其中所述量测目标中至少一个目标区域包括多个子目标,每个子目标具有其相应结构的所述实体配置的不同变化。
30.根据项目28或项目29所述的量测目标,其中所述DoE目标区域被布置成栅格。
31.根据项目28至30中任一项所述的量测目标,具有小于或等于约2500μm2的面积。
32.根据项目28至31中任一项所述的量测目标,其中所述多个DoE目标区域的至少一个结构具有所述相应结构的所述有意不同的实体配置的连续变化。
33.根据项目28至32中任一项所述的量测目标,其中所述图案化过程参数包括重叠。
34.根据项目33所述的量测目标,其中所述多个DoE目标区域具有与至少三种类型的重叠相对应的不同配置。
35.一种用于测量图案化过程的对象的量测设备,所述量测设备被配置成执行根据项目1至21中任一项所述的方法。
36.一种计算机程序产品,所述计算机程序产品包括其上记录有指令的计算机非暂时性可读介质,所述指令在由计算机执行时实施根据项目1至21中任一项所述的方法。
如在整个本申请中所使用的,词“可以”是以许可的意义(即,意味着可能)而不是强制性的意义(即,意味着必须)来使用。词语“包括”等意味着包括但不限于。如在整个本申请中所使用的,单数形式“一”、“一个”、“所述”包括多个提及物,除非上下文另有明确地指示。因而,例如,对“一”元件的提及包括两个或更多个元件的组合,尽管会针对一个或更多个元件使用其它术语和短语,诸如“一个或更多个”。除非另有指示,否则术语“或”是非独占式的,即,涵盖“和”与“或”两者。描述条件关系的术语,例如,“响应于X,发生Y”、“在发生X的情况下,发生Y”、“如果X,则Y”、“当X时,发生Y”等涵盖因果关系,其中前提是必要的因果条件,前提是充分的因果条件,或前提是结果的贡献因果条件,例如,“在条件Y获得后,即出现状态X”对于“仅在Y后,才出现X”和“在Y和Z后,即出现X”是通用的。这些条件关系不限于即刻遵循前提而获得的结果,这是由于一些结果可能被延迟,并且在条件陈述中,前提与它们的结果相关联,例如,前提与出现结果的可能性相关。除非另外指示,否则多个性质或功能被映射至多个对象(例如,执行步骤A、B、C和D的一个或更多个处理器)的陈述涵盖了所有这些性质或功能被映射至所有这些对象、以及性质或功能的子集被映射至性质或功能的子集两种情况(例如,这两种情况即:所有处理器各自执行步骤A至D;和其中处理器1执行步骤A、而处理器2执行步骤B和步骤C的一部分、且处理器3执行步骤C的一部分和步骤D的情况)。此外,除非另有指示,否则一个值或动作“基于”另一条件或值的陈述涵盖了条件或值是唯一因子的情况、以及条件或值是多个因子中的一个因子的情况两者。除非另外规定,否则某一集合体的“每个”实例均具有某一属性的陈述不应被理解为排除了其中较大的集合体的一些另外相同或相似的构件不具有所述属性的情况,即,每个不一定意味着每一个。
在某些美国专利、美国专利申请或其它材料(例如论文)已以引用方式并入的情况下,这些美国专利、美国专利申请和其它材料的文字仅在这种材料与本文中所阐述的陈述和附图之间不存在冲突的情况下被并入。在存在有这种冲突的情况下,这种以引用方式并入的美国专利、美国专利申请和其它材料中的任何这样的冲突文字不会具体地以引用方式并入本文中。
以上的描述意图是说明性的,而不是限制性的。因而,本领域技术人员将明白,可以在不背离下文所阐明的权利要求的范围的情况下对描述的公开内容进行修改。
Claims (8)
1.一种方法,包括:
获得由来自衬底的多个结构中的每个结构重新引导的辐射的所检测的表示,所述衬底上另外具有器件图案,其中每个结构具有相应结构的与所述相应结构的相应名义实体配置相比有意不同的实体配置,其中每个结构在所述相应名义实体配置的情况下具有几何对称性,其中所述结构的所述有意不同的实体配置造成不对称光学特性分布,并且其中图案化过程参数测量所述实体配置的改变;和
由硬件计算机系统基于所检测的表示并且基于所述有意不同的实体配置来确定值,以设置、监控或校正用于确定所述图案化过程参数的测量选配方案。
2.根据权利要求1所述的方法,其中所述多个结构形成一个或更多个实验设计(DoE)目标区域,每个DoE目标区域对应于同一图案化过程参数的一种或更多种特定类型。
3.根据权利要求2所述的方法,其中所述多个结构形成多个DoE目标区域,每个DoE目标区域对应于所述同一图案化过程参数的一种或更多种类型的不同集合。
4.根据权利要求1至3中任一项所述的方法,其中所述多个结构的至少一个结构具有所述相应结构的所述有意不同的实体配置的连续变化。
5.根据权利要求1至4中任一项所述的方法,其中所述结构被约束为小于或等于约2500μm2的面积。
6.根据权利要求1至5中任一项所述的方法,还包括基于所述值来设置或校正所述测量选配方案。
7.根据权利要求1至6中任一项所述的方法,其中所述图案化过程参数包括重叠。
8.根据权利要求7所述的方法,其中所述多个结构具有与至少三种类型的重叠相对应的不同配置。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
EP17203287.2 | 2017-11-23 | ||
EP17203287.2A EP3489756A1 (en) | 2017-11-23 | 2017-11-23 | Method and apparatus to determine a patterning process parameter |
PCT/EP2018/078591 WO2019101447A1 (en) | 2017-11-23 | 2018-10-18 | Method and apparatus to determine a patterning process parameter |
Publications (2)
Publication Number | Publication Date |
---|---|
CN111630455A true CN111630455A (zh) | 2020-09-04 |
CN111630455B CN111630455B (zh) | 2024-04-09 |
Family
ID=60452470
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880087554.6A Active CN111630455B (zh) | 2017-11-23 | 2018-10-18 | 用于确定图案化过程参数的方法和设备 |
Country Status (7)
Country | Link |
---|---|
US (2) | US11143972B2 (zh) |
EP (1) | EP3489756A1 (zh) |
JP (1) | JP7191954B2 (zh) |
KR (1) | KR102459765B1 (zh) |
CN (1) | CN111630455B (zh) |
TW (1) | TWI694303B (zh) |
WO (1) | WO2019101447A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113608409A (zh) * | 2020-11-03 | 2021-11-05 | 联芯集成电路制造(厦门)有限公司 | 接触结构的制作方法 |
Families Citing this family (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10983440B2 (en) * | 2016-05-23 | 2021-04-20 | Asml Netherlands B.V. | Selection of substrate measurement recipes |
EP3364247A1 (en) * | 2017-02-17 | 2018-08-22 | ASML Netherlands B.V. | Methods & apparatus for monitoring a lithographic manufacturing process |
WO2018202388A1 (en) * | 2017-05-03 | 2018-11-08 | Asml Netherlands B.V. | Metrology parameter determination and metrology recipe selection |
US12105036B2 (en) * | 2018-09-13 | 2024-10-01 | Asml Netherlands B.V. | Method and apparatus for monitoring beam profile and power |
TWI734284B (zh) * | 2018-12-04 | 2021-07-21 | 荷蘭商Asml荷蘭公司 | 用於判定微影製程之效能參數之目標 |
WO2021005067A1 (en) * | 2019-07-08 | 2021-01-14 | Asml Netherlands B.V. | Metrology method and associated computer product |
US20220276563A1 (en) * | 2019-07-10 | 2022-09-01 | Asml Netherlands B.V. | Prediction data selection for model calibration to reduce model prediction uncertainty |
EP3770682A1 (en) | 2019-07-25 | 2021-01-27 | ASML Netherlands B.V. | Method and system for determining information about a target structure |
JP7310466B2 (ja) * | 2019-09-10 | 2023-07-19 | 株式会社ニューフレアテクノロジー | マルチ荷電粒子ビーム評価方法 |
FI20195790A1 (en) * | 2019-09-20 | 2021-03-21 | Maillefer Extrusion Oy | QUALITY FORECAST BASED ON MACHINE LEARNING OF MANUFACTURED FIBER OPTIC CABLE |
US11415898B2 (en) * | 2019-10-14 | 2022-08-16 | Kla Corporation | Signal-domain adaptation for metrology |
US11360398B2 (en) * | 2019-11-14 | 2022-06-14 | Kla Corporation | System and method for tilt calculation based on overlay metrology measurements |
TWI748696B (zh) | 2019-11-18 | 2021-12-01 | 德商博斯特比勒費爾德有限公司 | 用於決定印刷機之印刷參數的方法及測試平台 |
IL279727A (en) | 2019-12-24 | 2021-06-30 | Asml Netherlands Bv | Method of determining information about pattern procedure, method of error reduction in measurement data, metrology process calibration method, method of selecting metrology targets |
CN111833341B (zh) * | 2020-07-22 | 2024-08-13 | 浙江大华技术股份有限公司 | 确定图像中条纹噪声的方法及装置 |
US11454894B2 (en) | 2020-09-14 | 2022-09-27 | Kla Corporation | Systems and methods for scatterometric single-wavelength measurement of misregistration and amelioration thereof |
US20220114438A1 (en) * | 2020-10-09 | 2022-04-14 | Kla Corporation | Dynamic Control Of Machine Learning Based Measurement Recipe Optimization |
EP4040233A1 (en) * | 2021-02-03 | 2022-08-10 | ASML Netherlands B.V. | A method of determining a measurement recipe and associated metrology methods and appratuses |
US20220326626A1 (en) * | 2021-03-30 | 2022-10-13 | Onto Innovation Inc. | Multi-layer calibration for empirical overlay measurement |
US20230316593A1 (en) * | 2022-03-31 | 2023-10-05 | Applied Materials, Inc. | Generating synthetic microspy images of manufactured devices |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20160117812A1 (en) * | 2014-10-28 | 2016-04-28 | Kla-Tencor Corporation | Image Based Signal Response Metrology |
CN105900015A (zh) * | 2013-11-26 | 2016-08-24 | Asml荷兰有限公司 | 用于光刻度量的方法、设备和衬底 |
WO2017148982A1 (en) * | 2016-03-01 | 2017-09-08 | Asml Netherlands B.V. | Method and apparatus to determine a patterning process parameter |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7791727B2 (en) | 2004-08-16 | 2010-09-07 | Asml Netherlands B.V. | Method and apparatus for angular-resolved spectroscopic lithography characterization |
US7483804B2 (en) | 2006-09-29 | 2009-01-27 | Tokyo Electron Limited | Method of real time dynamic CD control |
DE102007046850B4 (de) * | 2007-09-29 | 2014-05-22 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zum Bestimmen einer Überlagerungsgenauigkeit |
NL1036245A1 (nl) | 2007-12-17 | 2009-06-18 | Asml Netherlands Bv | Diffraction based overlay metrology tool and method of diffraction based overlay metrology. |
NL1036597A1 (nl) | 2008-02-29 | 2009-09-01 | Asml Netherlands Bv | Metrology method and apparatus, lithographic apparatus, and device manufacturing method. |
NL2004094A (en) | 2009-02-11 | 2010-08-12 | Asml Netherlands Bv | Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method. |
NL2004815A (en) * | 2009-06-17 | 2010-12-20 | Asml Netherlands Bv | Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell. |
KR101461457B1 (ko) | 2009-07-31 | 2014-11-13 | 에이에스엠엘 네델란즈 비.브이. | 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀 |
WO2011023517A1 (en) | 2009-08-24 | 2011-03-03 | Asml Netherlands B.V. | Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets |
NL2007425A (en) | 2010-11-12 | 2012-05-15 | Asml Netherlands Bv | Metrology method and apparatus, and device manufacturing method. |
EP2694983B1 (en) * | 2011-04-06 | 2020-06-03 | KLA-Tencor Corporation | Method and system for providing a quality metric for improved process control |
NL2009294A (en) * | 2011-08-30 | 2013-03-04 | Asml Netherlands Bv | Method and apparatus for determining an overlay error. |
KR101761735B1 (ko) * | 2012-03-27 | 2017-07-26 | 에이에스엠엘 네델란즈 비.브이. | 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법 |
US9958790B2 (en) * | 2013-12-19 | 2018-05-01 | Asml Netherlands B.V. | Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method |
KR102219780B1 (ko) | 2014-03-04 | 2021-02-25 | 에이에스엠엘 네델란즈 비.브이. | 데이터 처리 장치를 갖는 리소그래피 장치 |
WO2016030255A2 (en) * | 2014-08-29 | 2016-03-03 | Asml Netherlands B.V. | Metrology method, target and substrate |
WO2016034428A2 (en) | 2014-09-01 | 2016-03-10 | Asml Netherlands B.V. | Method of measuring a property of a target structure, inspection apparatus, lithographic system and device manufacturing method |
US10210606B2 (en) * | 2014-10-14 | 2019-02-19 | Kla-Tencor Corporation | Signal response metrology for image based and scatterometry overlay measurements |
US10983005B2 (en) * | 2016-12-15 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Spectroscopic overlay metrology |
-
2017
- 2017-11-23 EP EP17203287.2A patent/EP3489756A1/en not_active Withdrawn
-
2018
- 2018-10-18 CN CN201880087554.6A patent/CN111630455B/zh active Active
- 2018-10-18 JP JP2020528338A patent/JP7191954B2/ja active Active
- 2018-10-18 WO PCT/EP2018/078591 patent/WO2019101447A1/en active Application Filing
- 2018-10-18 KR KR1020207014554A patent/KR102459765B1/ko active IP Right Grant
- 2018-11-02 US US16/178,638 patent/US11143972B2/en active Active
- 2018-11-19 TW TW107140959A patent/TWI694303B/zh active
-
2021
- 2021-10-08 US US17/497,087 patent/US11947269B2/en active Active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105900015A (zh) * | 2013-11-26 | 2016-08-24 | Asml荷兰有限公司 | 用于光刻度量的方法、设备和衬底 |
US20160117812A1 (en) * | 2014-10-28 | 2016-04-28 | Kla-Tencor Corporation | Image Based Signal Response Metrology |
WO2017148982A1 (en) * | 2016-03-01 | 2017-09-08 | Asml Netherlands B.V. | Method and apparatus to determine a patterning process parameter |
WO2017149003A1 (en) * | 2016-03-01 | 2017-09-08 | Asml Netherlands B.V. | Method and apparatus to determine a patterning process parameter |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113608409A (zh) * | 2020-11-03 | 2021-11-05 | 联芯集成电路制造(厦门)有限公司 | 接触结构的制作方法 |
CN113608409B (zh) * | 2020-11-03 | 2022-04-01 | 联芯集成电路制造(厦门)有限公司 | 接触结构的制作方法 |
US11527438B2 (en) | 2020-11-03 | 2022-12-13 | United Semiconductor (Xiamen) Co., Ltd. | Manufacturing method of contact structure |
Also Published As
Publication number | Publication date |
---|---|
JP2021504954A (ja) | 2021-02-15 |
US20190155173A1 (en) | 2019-05-23 |
WO2019101447A1 (en) | 2019-05-31 |
KR102459765B1 (ko) | 2022-10-26 |
US11947269B2 (en) | 2024-04-02 |
TW201925910A (zh) | 2019-07-01 |
JP7191954B2 (ja) | 2022-12-19 |
US11143972B2 (en) | 2021-10-12 |
KR20200066725A (ko) | 2020-06-10 |
EP3489756A1 (en) | 2019-05-29 |
TWI694303B (zh) | 2020-05-21 |
US20220066330A1 (en) | 2022-03-03 |
CN111630455B (zh) | 2024-04-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN111630455B (zh) | 用于确定图案化过程参数的方法和设备 | |
CN109073995B (zh) | 用于确定图案化工艺的参数的方法和设备 | |
CN111433679B (zh) | 确定与图案化过程有关的信息的方法、减小测量数据中的误差的方法、校准量测过程的方法、选择量测目标的方法 | |
CN110998454B (zh) | 用于确定图案化过程参数的方法 | |
CN111279268B (zh) | 确定所关注的参数的值的方法、清除包含关于所关注的参数的信息的信号的方法 | |
CN111065971B (zh) | 确定图案化过程参数的方法和量测装置 | |
TW201940984A (zh) | 用於判定敏感度參數之調整之方法與裝置 | |
CN112782942A (zh) | 配置方案选择方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |