CN111508809A - Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system - Google Patents

Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system Download PDF

Info

Publication number
CN111508809A
CN111508809A CN202010085736.9A CN202010085736A CN111508809A CN 111508809 A CN111508809 A CN 111508809A CN 202010085736 A CN202010085736 A CN 202010085736A CN 111508809 A CN111508809 A CN 111508809A
Authority
CN
China
Prior art keywords
plate
plasma source
disposed
plasma
inner edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010085736.9A
Other languages
Chinese (zh)
Other versions
CN111508809B (en
Inventor
迈克尔·S·考克斯
王荣平
布赖恩·韦斯特
罗杰·M·约翰逊
科林·约翰·迪金森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/199,974 external-priority patent/US9230780B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202010085736.9A priority Critical patent/CN111508809B/en
Publication of CN111508809A publication Critical patent/CN111508809A/en
Application granted granted Critical
Publication of CN111508809B publication Critical patent/CN111508809B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • B01D53/323Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00 by electrostatic effects or by high-voltage electric fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32825Working under atmospheric pressure or higher
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • B01D2257/553Compounds comprising hydrogen, e.g. silanes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • B01D2257/556Organic compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2258/00Sources of waste gases
    • B01D2258/02Other waste gases
    • B01D2258/0216Other waste gases from CVD treatment or semi-conductor manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Drying Of Semiconductors (AREA)
  • Treating Waste Gases (AREA)
  • Combustion & Propulsion (AREA)

Abstract

Embodiments disclosed herein include an abatement system for abating compounds generated in a semiconductor process. The abatement system includes a plasma source having a first plate and a second plate parallel to the first plate. An electrode is disposed between the first and second plates, and an outer wall is disposed between the first and second plates, the outer wall surrounding the electrode. The plasma source has a first plurality of magnets disposed on a first plate, and a second plurality of magnets disposed on a second plate. The magnetic fields generated by the first and second plurality of magnets are substantially perpendicular to the electric field generated between the electrode and the outer wall. In this configuration, a dense plasma is generated.

Description

Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system
This application is a divisional patent application of the invention patent application filed on 2015, 2/9, with application number 201580012315.0, entitled "hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system".
Background
Technical Field
Embodiments of the present disclosure generally relate to semiconductor processing equipment. More particularly, embodiments of the present disclosure relate to plasma sources, abatement systems, and vacuum processing systems, each for abating compounds generated in semiconductor processes.
Background
Process gases used in semiconductor processing facilities contain a wide variety of compounds that must be eliminated (abated) or disposed of before disposal (disposal) due to regulatory requirements and concerns regarding environmental and safety issues. Typically, a remote plasma source may be coupled to the processing chamber to eliminate compounds from the processing chamber. Halogen-containing plasmas and gases are frequently used for etching or cleaning processes, and components of the processing chamber and remote plasma sources are susceptible to corrosion caused by the halogen-containing plasmas and gases. Corrosion shortens the usable lifetime of the processing chamber components and the remote plasma source, and additionally introduces undesirable defects and contamination into the processing environment.
Therefore, what is needed in the art is: an improved plasma source and abatement system for abating compounds generated in a semiconductor process.
Disclosure of Invention
Embodiments disclosed herein include a plasma source, an abatement system, and a vacuum processing system, each for abating compounds generated in a semiconductor process. In one embodiment, a plasma source is disclosed. The plasma source includes a first plate having an outer edge and an inner edge, a second plate parallel to the first plate, the second plate having an outer edge and an inner edge, an outer wall disposed between the outer edge of the first plate and the outer edge of the second plate, an electrode disposed between the inner edge of the first plate and the inner edge of the second plate, a first plurality of magnets disposed on the first plate, and a second plurality of magnets disposed on the second plate.
In another embodiment, an abatement system is disclosed. The abatement system includes a plasma source including a body having a first end configured to couple to a foreline and a second end configured to couple to a conduit. The plasma source further includes an electrode disposed within the body, a first plurality of magnets disposed on a first plate of the body, and a second plurality of magnets disposed on a second plate of the body.
In another embodiment, a vacuum processing system is disclosed. A vacuum processing system includes a vacuum processing chamber and a plasma source including a first plate, a second plate parallel to the first plate, an outer wall, an electrode, a first plurality of magnets, and a second plurality of magnets, the first plate having an outer edge and an inner edge, the second plate having an outer edge and an inner edge, the outer wall disposed between the outer edge of the first plate and the outer edge of the second plate, the electrode disposed between the inner edge of the first plate and the inner edge of the second plate, the first plurality of magnets disposed on the first plate, and the second plurality of magnets disposed on the second plate.
Brief Description of Drawings
So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
FIG. 1A is a schematic side view of a vacuum processing system having a plasma source.
Fig. 1B is a cross-sectional view of the plasma source of fig. 1A.
Fig. 2A is a cross-sectional perspective view of a plasma source.
Fig. 2B is a cross-sectional bottom view of the plasma source.
Fig. 2C is an enlarged view of the metal shield.
Fig. 3 is a perspective view of a plasma source.
FIG. 4 schematically illustrates components associated with a plasma source.
FIG. 5 is a perspective view of the exhaust cooling device.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
Detailed description of the preferred embodiments
Fig. 1A is a schematic side view of a vacuum processing system 170, the vacuum processing system 170 having a plasma source 100 used in an abatement system 193. The vacuum processing system 170 includes at least one vacuum processing chamber 190 and a plasma source 100. The abatement system 193 includes at least the plasma source 100. The vacuum processing chamber 190 is generally configured to perform at least one integrated circuit fabrication process, such as a deposition process, an etch process, a plasma treatment process, a pre-clean process, an ion implantation process, or other integrated circuit fabrication process. The process performed in the vacuum processing chamber 190 may be a plasma assisted process. For example, the process performed in the vacuum processing chamber 190 may be a plasma deposition process for depositing a silicon-based material.
The vacuum processing chamber 190 has a chamber exhaust port 191, the chamber exhaust port 191 being coupled to the plasma source 100 of the abatement system 193 via a foreline 192. The exhaust of the plasma source 100 is coupled to pump and facility exhaust, which are exemplarily indicated in fig. 1A with a single reference numeral 196, by an exhaust conduit 194. The vacuum processing chamber 190 is typically pumped down, and the facility exhaust typically includes a scrubber or other exhaust cleaning device in preparation for the exhaust of the vacuum processing chamber 190 to enter the atmosphere.
The abatement process is performed on the gases and/or other materials exiting the vacuum processing chamber 190 using the plasma source 100 so that these gases and/or other materials may be converted into more environmentally friendly and/or more favorable compositions for the processing equipment. Details of the plasma source 100 are further described below.
In some embodiments, the abatement agent source 114 is coupled to the foreline 192 and/or the plasma source 100. The abatement agent source 114 provides an abatement agent into the plasma source 100 that may be energized to react with or assist in converting the material exiting the vacuum processing chamber 190 to a more environmentally friendly and/or more favorable composition for the process tool. Optionally, a purge gas source 115 may be coupled to the plasma source 100 for reducing deposition on components within the plasma source 100.
An exhaust cooling device 117 may be coupled between the plasma source 100 and the exhaust conduit 194 for reducing the temperature of the exhaust exhausted from the plasma source 100. In one example, the exhaust cooling device 117 is part of the abatement system 193.
Optionally, the pressure regulation module 182 may be coupled to at least one of the plasma source 100 or the exhaust conduit 194. The pressure regulation module 182 injects a pressure regulation gas, such as argon, nitrogen, or other suitable gas that enables the pressure within the plasma source 100 to be better controlled, and thereby provides more efficient abatement performance. In one example, the pressure regulation module 182 is part of the abatement system 193.
Fig. 1B is a side view of the plasma source 100 according to one embodiment. The plasma source 100 may be disposed downstream of the vacuum processing chamber 190. The plasma generated in the plasma source 100 partially or completely excites and/or decomposes the compounds in the exhaust from the vacuum processing chamber 190 and converts the compounds in the exhaust to a more benign form. In one embodiment, due to the ability of the plasma source 100 to generate a dense plasma, the plasma source 100 may function as a remote plasma source disposed upstream of the processing chamber to deliver plasma products such as molecular or atomic species (i.e., the dense plasma) into the processing chamber.
The plasma source 100 may include a body 102, the body 102 having a first end 104 and a second end 106. The first end 104 may be parallel to the second end 106. The first end 104 may have an opening 120, the opening 120 configured to couple to the foreline 192 with or without a flange, and the second end 106 may have an opening 122, the opening 122 configured to couple to the exhaust cooling device 117 with or without a flange. The flange is further illustrated and described below with reference to fig. 3. The body 102 may be circular, square, rectangular, or in other suitable shapes. In one embodiment, the body 102 has a toroidal (toroidal) shape. An opening 108 may be formed through the body 102. The opening 108 may be circular, square, rectangular, or in other suitable shapes. In one embodiment, the body 102 is annular (annular). In other embodiments, the body 102 does not include the opening 108.
A gas mixture 110, such as a by-product in the exhaust exiting the vacuum processing chamber 190 or a precursor and/or carrier gas used to generate a remote plasma in the example where the plasma source 100 is a remote plasma source, may enter the plasma source 100 through an opening 120 at the first end 104. Gas mixture 110 may be decomposed by the plasma formed in plasma region 124 and treated with an abatement agent and exit through opening 122 at second end 106 as a reduced risk material. The gas mixture 110 may be split by the opening 108 into two streams 110A and 110B, and then combined into a stream 110C upon exiting the body 102, as represented by path "a" illustrated in fig. 1B. If the gas mixture 110 is a byproduct in the exhaust exiting the vacuum processing chamber, one or more eliminants may be introduced into the plasma source 100 from an eliminant source 114 shown in FIG. 1A. The by-products in the effluent may include materials containing silicon, tungsten, titanium, or aluminum. Examples of silicon-containing materials that may be eliminated from the effluent by employing the plasma source 100 disclosed herein include: such as silicon oxide (SiO), silicon dioxide (SiO)2) Silane (SiH)4) Disilane, silicon tetrachloride (SiCl)4) Silicon nitride (SiN)x) Dichlorosilane (SiH)2Cl2) Hexachlorodisilane (Si)2Cl6) Bis (tert-butylamino) silane, trisilylamine (trisilylamine), dimethylsilylmethane (disilylmethane), trisilylmethane (trisilylmethane), tetrasilylmethane (tetrasilylmethane), Tetraethoxysilane (TEO)S)(Si(OEt)4) Disiloxanes (e.g., disiloxanes (SiH)3OSiH3) Trisiloxane (SiH)3OSiH2OSiH3) Tetrasiloxane (SiH)3OSiH2OSiH2OSiH3) And cyclotrisiloxanes (cyclotrisiloxanes; -SiH2OSiH2OSiH2O-)). Examples of tungsten-containing materials present in the effluent that can be eliminated by employing the methods disclosed herein include: for example W (CO)6、WF6、WCl6Or WBr6. Examples of titanium-containing materials present in the effluent that can be eliminated by employing the methods disclosed herein include: for example TiCl4And TiBr4. Examples of aluminum-containing materials present in the effluent that can be eliminated by employing the methods disclosed herein include: such as trimethylaluminum.
The eliminators may include: such as CH4、H2O、H2、NF3、SF6、F2、HCl、HF、Cl2、HBr、H2、H2O、O2、N2、O3、CO、CO2、NH3、N2O、CH4And combinations of the foregoing. The scavenger may also comprise CHxFyAnd O2And/or H2A combination of O, and CFxAnd O2And/or H2A combination of O. Different scavengers can be used for effluents having different compositions.
Fig. 2A is a cross-sectional perspective view of a plasma source 100 according to one embodiment. As shown in fig. 2A, the body 102 may include an outer wall 204, an inner wall 206, a first plate 203, and a second plate 205. The first plate 203 and the second plate 205 may have an annular shape, and the outer wall 204 and the inner wall 206 may be cylindrical. The inner wall 206 may be a hollow electrode that may be coupled to an RF source (not shown). The outer wall 204 may be grounded. The first plate 203 and the second plate 205 may be concentric with the inner wall 206. The first plate member 203 can have an outer edge 207 and an inner edge 209, and the second plate member 205 can have an outer edge 211 and an inner edge 213. The outer wall 204 may have a first end 212 and a second end 214, and the inner wall 206 may have a first end 216 and a second end 218. A first insulating ring 230 may be disposed adjacent the first end 216 of the inner wall 206 and a second insulating ring 232 may be disposed adjacent the second end 218 of the inner wall 206. The insulator rings 230, 232 may be made of an insulating ceramic material. The outer edge 207 of the first plate member 203 can be adjacent to the first end 212 of the outer wall 204 and the outer edge 211 of the second plate member 205 can be adjacent to the second end 214 of the outer wall 204. In one embodiment, ends 212, 214 of outer wall 204 are in contact with outer edges 207, 211, respectively. The inner edge 209 of the first plate 203 may abut the first insulating ring 230 and the inner edge 213 of the second plate 205 may abut the second insulating ring 232. The plasma region 124 is defined between the outer wall 204 and the inner wall 206, and between the first plate 203 and the second plate 205, and a capacitively coupled plasma may be formed in the plasma region 124.
To keep the inner wall 206 cool during operation, a cooling jacket (jack) 220 may be coupled to the inner wall 206. The inner wall 206 may have a first surface 242 facing the outer wall 204 and a second surface 244 opposite the first surface. In one embodiment, both surfaces 242, 244 are linear and cooling jacket 220 is coupled to second surface 244. In one embodiment, the first surface 242 is curved and the second surface 244 is linear, as shown in fig. 2B. Cooling channels 208 may be formed in cooling jacket 220, and cooling channels 208 are coupled to coolant inlet 217 and coolant outlet 219 for flowing coolant, such as water, into and out of cooling jacket 220. A first plurality of magnets 210 may be disposed on the first plate 203. In one embodiment, the first plurality of magnets 210 may be a magnetron having an array of magnets, and the first plurality of magnets may have an annular shape. The second plurality of magnets 240 may be disposed on the second plate 205, and the second plurality of magnets 240 may be a magnetron having an array of magnets and the second plurality of magnets may have the same shape as the first plurality of magnets 210. In one embodiment, the second plurality of magnets 240 is a magnetron and has a ring shape. In one embodiment, the magnets 210, 240 are linear arrays formed near the ends 104, 106. The magnets 210, 240 may have opposite polarities facing the plasma region 124. The magnets 210, 240 may be rare earth magnets, such as neodymium ceramic magnets. One or more gas injection ports 270 may be formed in the first plate 203 or the first and second plates 203, 205 for injecting an abating agent and/or a purge gas. The purge gas may reduce deposition on the shields 250, 252 (shown in fig. 2B). Alternatively, the gas injection ports 270 may be formed in the foreline 192.
Fig. 2B is a cross-sectional bottom view of the plasma source 100 according to one embodiment. As shown in fig. 2B, the first surface 242 of the inner wall 206 is provided with a plurality of grooves 246. The groove 246 may be a continuous groove. Although the first surface 242 illustrated in fig. 2B is curved, the groove 246 may be formed on the linear first surface 242 as illustrated in fig. 2A. During operation, the inner wall 206 is powered by a Radio Frequency (RF) power source and the outer wall 204 is grounded, thereby creating an oscillating or constant electric field "E" in the plasma region 124 depending on the type of power applied, i.e., RF or Direct Current (DC), or some frequency in between. Bipolar direct current and bipolar pulsed direct current may also be used with the inner and outer walls forming the two opposing electrodes. The magnets 210, 240 generate a generally uniform magnetic field "B" that is substantially perpendicular to the electric field "E". In this configuration, the resultant force bends the current that would otherwise be in the direction of the electric field "E" toward the end 106 (out of the paper), and this force significantly increases the plasma density by limiting plasma electron loss from the grounded wall. This results in a ring oscillator current being substantially directed away from the grounded wall under the application of radio frequency power. This results in a constant circular current being directed largely away from the grounded wall in the case of an applied direct current power. The effect of this current deviation (hall effect) created by the applied electric field is referred to as the "hall effect". The plasma formed in plasma region 124 decomposes at least a portion of the by-products in the exhaust that flows from opening 120 at first end 104. The scavenger may also be injected to react with and form less dangerous compounds with the decomposition products. In one embodiment, the effluent includes silane and the abating agent, which may be water or oxygen, converts the silane in the effluent to glass.
A first metal shield 250 may be disposed inside the plasma region 124 adjacent to the first plate 203, a second metal shield 252 may be disposed inside the plasma region 124 adjacent to the second plate 205, and a third metal shield 259 may be disposed inside the plasma region adjacent to the outer wall 204. Since materials may be deposited on the shields 250, 252, 259, these shields may be removable, replaceable, and/or reusable. The first metal shield 250 and the second metal shield 252 may have a similar configuration. In one embodiment, the first metal shield 250 and the second metal shield 252 both have an annular shape. The first and second metal shields 250 and 252 each include a stack (stack) of metal plates 254a to 254e that are isolated from each other. One or more gaps 272 (shown in fig. 2A) may be formed in each of the metal plates 254 a-254 e to allow for expansion without deforming the metal plates 254 a-254 e. Fig. 2C is an enlarged view of the metal shield 250 according to one embodiment. For clarity, some components of the plasma source 100, such as the one or more gas injection ports 270, are omitted. Each of the plates 254 a-254 e may be annular and may have an inner edge 256 and an outer edge 258. The metal plates 254a to 254e may be coated to change the shield surface emissivity. The coating may be an anodized material to improve chemical resistance, radiative heat transfer, and stress reduction (reduction). In one embodiment, the metal plates 254 a-254 e are coated with black alumina. The inner portion 274 of the metal plate 254a may be made of a ceramic material for preventing arcing and achieving dimensional stability. The inner edges 256 of the metal plates 254 a-254 e are spaced from each other by means of an insulating washer 260, so that the metal plates 254 a-254 e are isolated from each other. The washer 260 also spaces the plate 254e from the first plate member 203. The stack of metal plates 254 a-254 e may be secured by one or more ceramic rods or spacers (not shown). One or more ceramic rods may pass through the stack of washers and metal plates 254 a-254 e, with one end of each ceramic rod coupled to the inner wall 206 and the other end of each ceramic rod coupled to the first/ second plates 203, 205.
In one embodiment, the distance "D1" between the inner edge 256 and the outer edge 258 of the plate 254a is less than the distance "D2" between the inner edge 256 and the outer edge 258 of the plate 254b, the distance "D2" is less than the distance "D3" between the inner edge 256 and the outer edge 258 of the plate 254c, the distance "D3" is less than the distance "D4" between the inner edge 256 and the outer edge 258 of the plate 254D, and the distance "D4" is less than the distance "D5" between the inner edge 256 and the outer edge 258 of the plate 254 e. In other words, the distance between the inner edge 256 and the outer edge 258 of the plate member is related to the plate position, i.e., the further the plate is disposed from the plasma region 124, the greater the distance between the inner edge 256 and the outer edge 258. In this configuration, the voltage between the inner wall 206 and the outer wall 204 is divided by six due to the presence of six gaps as follows: the gap between the inner wall 206 and the outer edge 258 of the plate 254a, the gap between the outer edge 258 of the plate 254a and the outer edge 258 of the plate 254b, the gap between the outer edge 258 of the plate 254b and the outer edge 258 of the plate 254c, the gap between the outer edge 258 of the plate 254c and the outer edge 258 of the plate 254d, the gap between the outer edge 258 of the plate 254d and the outer edge 258 of the plate 254e, and the gap between the outer edge 258 of the plate 254e and the outer wall 204. Each gap has a small potential and therefore the electric field across the gap is small, such regions cannot light up and dissipate the applied power, thereby forcing power into the plasma region 124, creating a plasma in the plasma region 124. Without the shields 250, 252 as described above, there may be a partial plasma discharge between the first end 216 of the inner wall 206 and the first end 212 of the outer wall 204 and between the second end 218 of the inner wall 206 and the second end 214 of the outer wall 204, and the plasma region 124 may not be filled with plasma.
The spaces between metal plates 254 a-254 e may be dark spaces that may be bridged by material deposited on the plates, causing the plates to short to each other. To prevent this from occurring, in one embodiment, each of the metal plates 254 a-254 e includes a step 262 so that the outer edge 258 of each of the metal plates 254 a-254 e is spaced farther from the adjacent plate. The step 262 causes the outer edge 258 to become non-linear with respect to the inner edge 256. Each step 262 protects dark space 264 formed between adjacent metal plates so that no material is deposited in dark space 264.
The outer wall 204, inner wall 206, and shields 250, 252, 259 may all be made of metal because metal is resistant to most chemicals used in semiconductor processing. The type of metal used may depend on the chemistry used in the vacuum processing chamber upstream of the plasma source 100. In one embodiment, where chlorine based chemistry is used, the metal may be stainless steel, such as 316 stainless steel. The insulating rings 230, 232 in chlorine-based chemistries may be made of quartz. In another embodiment, a fluorine-based chemistry is used, then the metal may be aluminum and the insulator rings 230, 232 may be made of aluminum oxide. The inner wall 206 may be made of anodized aluminum (anodized aluminum) or painted aluminum.
In one example, a plasma source includes a cylindrical electrode having a first end and a second end, an outer cylindrical wall surrounding the cylindrical electrode, and the outer cylindrical wall having a first end and a second end. The plasma source further includes a first annular plate having an inner edge and an outer edge, the inner edge being proximate the first end of the cylindrical electrode and the outer edge being proximate the first end of the outer cylindrical wall. The plasma source further includes a second annular plate having an inner edge and an outer edge, the inner edge being proximate the second end of the cylindrical electrode and the outer edge being adjacent the second end of the outer cylindrical wall. The plasma region is defined by a cylindrical electrode, a cylindrical outer wall, a first annular plate, and a second annular plate. The plasma source further includes a first plurality of magnets disposed on the first annular plate and a second plurality of magnets disposed on the second annular plate.
In another example, the plasma source comprises a first annular plate having an outer edge and an inner edge, a second annular plate parallel to the first annular plate, the second annular plate having an outer edge and an inner edge, and wherein the first annular plate has a surface facing the second annular plate, the second annular plate having a surface facing the first annular plate. The plasma source further includes an outer cylindrical wall disposed between outer edges of the first and second annular plates, a cylindrical electrode disposed between inner edges of the first and second annular plates, a first shield disposed adjacent to a surface of the first annular plate, and a second shield disposed adjacent to a surface of the second annular plate.
Fig. 3 is a perspective view of the plasma source 100. The inlet flange 302 and the outlet flange 304 may be coupled to the first end 104 and the second end 106 of the plasma source 100, respectively. The inlet flange 302 may be coupled to the foreline 192, while the second flange 304 may be coupled to the exhaust cooling device 117, as shown in fig. 1A. The flanges 302, 304 may be coupled to the first end 104 and the second end 106 of the plasma source 100, respectively, by any suitable method. The box 306 may be disposed on the plasma source 100 for enclosing a radio frequency matcher (not shown).
Fig. 4 schematically illustrates components associated with the plasma source 100. The rack 400 or other container/support structure may include an ac distribution box 402, an rf generator 404, and a controller 406. The ac distribution box 402 feeds an rf generator 404 and a controller 406. The RF generator 404 generates RF power, which may be supplied to the plasma source 100 via an RF match. The controller 406 communicates with a semiconductor manufacturing tool or semiconductor manufacturing equipment and controls the RF generator 404 and process gases.
Fig. 5 is a perspective view of the exhaust cooling device 117. As the excited exhaust in the plasma source 100 exits the plasma source 100 through the second end 106, the excited exhaust may recombine (recombine), and the recombination reaction releases energy and causes the exhaust exiting the plasma source 100 to heat up. Effluent having high temperatures, such as above 150 ℃, may damage the pump 196. To cool the exhaust having a high temperature, an exhaust cooling device 117 may be coupled to the second end 106 of the plasma source 100. Alternatively, the exhaust cooling device 117 may be coupled into the exhaust conduit 194 downstream of the plasma source 100 and upstream of the pressure regulation module 182. The exhaust cooling device 117 may include a first end 502 and a second end 504, the first end 502 for coupling to the flange 304 and the second end 504 for coupling to the exhaust conduit 194. A cavity 505 may be formed between the first end 502 and the second end 504, and a cooling plate 506 may be disposed in the cavity 505. The cooling plate 506 may include cooling channels (not shown) formed in the cooling plate 506, and the coolant inlet 508 and the coolant outlet 510 may be disposed on the cooling plate 506. A coolant, such as water, may flow into the cooling channels from a coolant inlet 508 and out a coolant outlet 510. A plurality of holes 512 may be formed in the cooling plate to allow the hot exhaust to pass therethrough. The diameter of the hole 512 may be large enough so that there is minimal to no pressure build-up. In one embodiment, the apertures 512 each have a diameter of about 0.5 inches and a pressure restriction (pressure restriction) of less than about 100 millitorr.
While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A plasma source, comprising:
a first plate having an outer edge and an inner edge;
a second plate parallel to the first plate, wherein the second plate has an outer edge and an inner edge;
an outer wall disposed between an outer edge of the first plate and an outer edge of the second plate;
an electrode disposed between an inner edge of the first plate and an inner edge of the second plate, wherein a plasma region is defined by the electrode, the outer wall, the first plate, and the second plate;
a first shield disposed within the plasma region adjacent to the first plate; and
a second shield disposed within the plasma region adjacent to the second plate.
2. The plasma source of claim 1, wherein the first plate and the second plate are annular.
3. The plasma source of claim 1, wherein the outer wall has a first end and a second end, wherein the first end is in contact with the outer edge of the first plate and the second end is in contact with the outer edge of the second plate.
4. The plasma source of claim 3, wherein the electrode has a first end and a second end, wherein the first end is proximate to the inner edge of the first plate and the second end is proximate to the inner edge of the second plate.
5. The plasma source of claim 4, further comprising: a first insulating ring disposed between the first end of the electrode and the inner edge of the first plate.
6. The plasma source of claim 5, further comprising: a second insulating ring disposed between the second end of the electrode and the inner edge of the second plate.
7. The plasma source of claim 1, further comprising: a first plurality of magnets disposed on the first plate; and a second plurality of magnets disposed on the second plate.
8. The plasma source of claim 7, wherein the first plurality of magnets have an annular shape and the second plurality of magnets have an annular shape.
9. The plasma source of claim 8, wherein a polarity of the first plurality of magnets facing the plasma region is opposite a polarity of the second plurality of magnets facing the plasma region.
10. A plasma source, comprising:
a first annular plate having an outer edge and an inner edge;
a second annular plate parallel to the first annular plate, wherein the second annular plate has an outer edge and an inner edge;
a cylindrical outer wall disposed between an outer edge of the first annular plate and an outer edge of the second annular plate;
a cylindrical electrode disposed between an inner edge of the first annular plate and an inner edge of the second annular plate, wherein a plasma region is defined by the cylindrical electrode, the cylindrical outer wall, the first annular plate, and the second annular plate;
a first shield disposed within the plasma region adjacent to the first annular plate; and
a second shield disposed within the plasma region adjacent to a surface of the second annular plate.
11. The plasma source of claim 10, further comprising: a third shield disposed adjacent to the cylindrical outer wall.
12. The plasma source of claim 10, wherein the first shield and the second shield each comprise a stack of plates.
13. The plasma source of claim 12, wherein the plates are annular and each have an inner edge and an outer edge.
14. The plasma source of claim 13, wherein each plate has a different distance between the inner edge and the outer edge.
15. The plasma source of claim 14, wherein each plate has a step and the outer edge is non-linear with respect to the inner edge.
16. A vacuum processing system, comprising:
a vacuum processing chamber;
a foreline coupled to the vacuum processing system; and
a plasma source coupled to the foreline, the plasma source comprising:
a first plate having an outer edge and an inner edge;
a second plate parallel to the first plate, wherein the second plate has an outer edge and an inner edge;
an outer wall disposed between an outer edge of the first plate and an outer edge of the second plate;
an electrode disposed between an inner edge of the first plate and an inner edge of the second plate, wherein a plasma region is defined by the electrode, the outer wall, the first plate, and the second plate;
a first shield disposed within the plasma region adjacent to the first plate; and
a second shield disposed within the plasma region adjacent to the second plate.
17. The vacuum processing system of claim 16, further comprising: an abatement agent source coupled to the foreline.
18. The vacuum processing system of claim 16, further comprising: an abatement agent source coupled to the plasma source.
19. The vacuum processing system of claim 16, further comprising: a first plurality of magnets disposed on the first plate; and a second plurality of magnets disposed on the second plate.
20. The vacuum processing system of claim 16, wherein the plasma source further comprises a cooling sleeve coupled to the electrode.
CN202010085736.9A 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system Active CN111508809B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010085736.9A CN111508809B (en) 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US14/199,974 2014-03-06
US14/199,974 US9230780B2 (en) 2014-03-06 2014-03-06 Hall effect enhanced capacitively coupled plasma source
US201462050555P 2014-09-15 2014-09-15
US62/050,555 2014-09-15
US14/498,920 US9240308B2 (en) 2014-03-06 2014-09-26 Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US14/498,920 2014-09-26
PCT/US2015/015054 WO2015134157A1 (en) 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
CN201580012315.0A CN106062925B (en) 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system
CN202010085736.9A CN111508809B (en) 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201580012315.0A Division CN106062925B (en) 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system

Publications (2)

Publication Number Publication Date
CN111508809A true CN111508809A (en) 2020-08-07
CN111508809B CN111508809B (en) 2023-04-28

Family

ID=54018050

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580012315.0A Active CN106062925B (en) 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system
CN202010085736.9A Active CN111508809B (en) 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201580012315.0A Active CN106062925B (en) 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system

Country Status (6)

Country Link
US (3) US9240308B2 (en)
JP (3) JP6738742B2 (en)
KR (2) KR102352727B1 (en)
CN (2) CN106062925B (en)
TW (3) TWI679922B (en)
WO (1) WO2015134157A1 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9230780B2 (en) * 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US10187966B2 (en) * 2015-07-24 2019-01-22 Applied Materials, Inc. Method and apparatus for gas abatement
US20170221683A1 (en) * 2016-02-01 2017-08-03 Retro-Semi Technologies, Llc Cleaning apparatus for an exhaust path of a process reaction chamber
WO2017177398A1 (en) * 2016-04-13 2017-10-19 Applied Materials, Inc. Apparatus for exhaust cooling
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10435787B2 (en) 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
JP6910443B2 (en) * 2016-12-09 2021-07-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Use of Quartz Crystal Microbalance Microbalance for Foreline Solid Formation Quantification
US10777394B2 (en) 2016-12-09 2020-09-15 Applied Materials, Inc. Virtual sensor for chamber cleaning endpoint
KR102306675B1 (en) * 2017-05-19 2021-09-28 어플라이드 머티어리얼스, 인코포레이티드 Apparatus for collection of liquid and solid effluents and subsequent reaction into gaseous effluents
KR102017811B1 (en) * 2017-08-18 2019-09-03 주식회사 뉴파워 프라즈마 Plasma chamber for exhaust gas treatment
CN107578977A (en) * 2017-09-27 2018-01-12 北京北方华创微电子装备有限公司 Reaction chamber and capacitance coupling plasma equipment
US10889891B2 (en) * 2018-05-04 2021-01-12 Applied Materials, Inc. Apparatus for gaseous byproduct abatement and foreline cleaning
US11221182B2 (en) 2018-07-31 2022-01-11 Applied Materials, Inc. Apparatus with multistaged cooling
US11306971B2 (en) 2018-12-13 2022-04-19 Applied Materials, Inc. Heat exchanger with multistaged cooling
US11551917B2 (en) 2019-02-22 2023-01-10 Applied Materials, Inc. Reduction of Br2 and Cl2 in semiconductor processes
WO2021149212A1 (en) * 2020-01-23 2021-07-29 株式会社日立ハイテク Plasma processing apparatus and method of operating plasma processing apparatus
US11875974B2 (en) * 2020-05-30 2024-01-16 Preservation Tech, LLC Multi-channel plasma reaction cell
TWI801058B (en) * 2021-12-23 2023-05-01 明遠精密科技股份有限公司 A hybrid plasma source and operation method thereof

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
CN1816383A (en) * 2003-06-03 2006-08-09 监测仪器有限责任公司 Mass spectrometer and related ionizer and methods
US20100230053A1 (en) * 2009-01-15 2010-09-16 Ryoji Nishio Plasma processing apparatus
CN101856581A (en) * 2009-04-02 2010-10-13 澄明科技有限公司 Control method of plasma by magnetic field in an exhaust gas treating apparatus and an exhaust gas treating apparatus using the same
CN102568635A (en) * 2012-01-01 2012-07-11 徐宽 Particle revolving acceleration-type atomic pile
CN102714913A (en) * 2009-11-18 2012-10-03 应用材料公司 Plasma source design

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5575905A (en) * 1978-11-30 1980-06-07 Takaoka Ind Ltd Ozone generating tube
JPH04251922A (en) * 1991-01-09 1992-09-08 Fujitsu Ltd Magnetron reactive ion etching apparatus
JPH05196300A (en) * 1992-01-21 1993-08-06 Sekisui Chem Co Ltd Electrothermal converter
JP2524461B2 (en) * 1992-03-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション High density plasma processing equipment
JP2900713B2 (en) * 1992-07-23 1999-06-02 日新電機株式会社 Plasma CVD equipment
JPH0737537A (en) * 1993-07-27 1995-02-07 Tatsuo Shiyouji Linear charged particle beam generating device
US5453125A (en) 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JP2604684B2 (en) * 1994-02-22 1997-04-30 木下 治久 Plasma process equipment
US5427747A (en) * 1994-06-08 1995-06-27 Lockheed Idaho Technologies Company Method and apparatus for producing oxygenates from hydrocarbons
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JP2000026971A (en) * 1998-07-10 2000-01-25 Kokusai Electric Co Ltd Exhaust gas treating device for cvd device
WO2000007215A2 (en) * 1998-07-29 2000-02-10 Applied Materials, Inc. A method of allowing a stable power transmission into a plasma processing chamber
JP3513463B2 (en) * 1999-04-16 2004-03-31 三菱重工業株式会社 Harmful component decomposition device and exhaust gas purification device using the same
SE516336C2 (en) 1999-04-28 2001-12-17 Hana Barankova Apparatus for plasma treatment of surfaces
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
AU1776401A (en) * 1999-11-19 2001-05-30 Nano Scale Surface Systems, Inc. System and method for depositing inorganic/organic dielectric films
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
JP2002064064A (en) * 2000-08-21 2002-02-28 Hitachi Kokusai Electric Inc Plasma processing device
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
JP2002273168A (en) * 2001-03-15 2002-09-24 Alpha Tekku:Kk Device and method for removal of hazard
JP2003086575A (en) 2001-09-10 2003-03-20 Hitachi Ltd Plasma treatment apparatus and method for shielding metal surface
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
JP2003197616A (en) * 2001-12-27 2003-07-11 Hitachi Ltd Apparatus and method for manufacturing semiconductor device
JP2003245520A (en) * 2002-02-26 2003-09-02 Seiko Epson Corp Pfc decomposition method, pfc decomposition apparatus and method for manufacturing semiconductor device
JP2003282465A (en) 2002-03-26 2003-10-03 Hitachi Ltd Method of manufacturing semiconductor device
US6707051B2 (en) * 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
JP2004063866A (en) 2002-07-30 2004-02-26 Nec Kansai Ltd Exhaust gas treatment apparatus
JP4180896B2 (en) * 2002-12-03 2008-11-12 キヤノンアネルバ株式会社 Plasma processing equipment
JP2004241472A (en) * 2003-02-04 2004-08-26 Seiko Epson Corp Gas treatment apparatus and method therefor and method of manufacturing semiconductor device
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
JP2005000193A (en) * 2003-06-09 2005-01-06 Metocean Environment Inc Clean disposal apparatus and method for poisonous substance
US7347979B2 (en) * 2003-06-17 2008-03-25 Nittetsu Mining Co., Ltd. Gas processing method and gas processing apparatus utilizing oxidation catalyst and low-temperature plasma
JP3982474B2 (en) * 2003-09-26 2007-09-26 松下電器産業株式会社 Depositing plate for vacuum processing apparatus and vacuum processing apparatus
US7021903B2 (en) * 2003-12-31 2006-04-04 The Boc Group, Inc. Fore-line preconditioning for vacuum pumps
JP2006314869A (en) * 2005-05-10 2006-11-24 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude System for detoxifying exhaust gas from semiconductor process chamber
JP2009506881A (en) * 2005-07-29 2009-02-19 ユニバーシティ オブ デラウェア Hollow cathode plasma source for biological and chemical decontamination of air and surfaces
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
GB0522088D0 (en) * 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
JP5036354B2 (en) * 2006-04-04 2012-09-26 東京エレクトロン株式会社 Exhaust system structure of film forming apparatus, film forming apparatus, and exhaust gas treatment method
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
GB0714025D0 (en) * 2007-07-19 2007-08-29 Edwards Ltd Plasma reactor
JP2009231589A (en) * 2008-03-24 2009-10-08 Ulvac Japan Ltd Reactive ion etching device
JP2011034705A (en) * 2009-07-30 2011-02-17 Canon Anelva Corp Plasma treatment device
KR20110029500A (en) * 2009-09-15 2011-03-23 삼성에스디아이 주식회사 Film forming apparatus using plasma based ion planting
EP2312612B1 (en) * 2009-10-16 2017-03-08 Korea Institute Of Machinery & Materials Plasma reactor for abating hazardous materials and driving method thereof
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8747762B2 (en) 2009-12-03 2014-06-10 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US8508134B2 (en) * 2010-07-29 2013-08-13 Evgeny Vitalievich Klyuev Hall-current ion source with improved ion beam energy distribution
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR20130025224A (en) * 2011-09-01 2013-03-11 한국기계연구원 Sputtering equipment using high density plasma and method thereof
WO2013074354A1 (en) * 2011-11-17 2013-05-23 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
EP2785152A4 (en) * 2011-11-22 2015-07-29 Kobe Steel Ltd Plasma generation source and vacuum plasma processing apparatus provided with same
DE102012103425A1 (en) * 2012-04-19 2013-10-24 Roth & Rau Ag Microwave plasma generating device and method of operation thereof
US9867238B2 (en) 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
US20140262033A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Gas sleeve for foreline plasma abatement system
US9622375B2 (en) * 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9230780B2 (en) * 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
CN1816383A (en) * 2003-06-03 2006-08-09 监测仪器有限责任公司 Mass spectrometer and related ionizer and methods
US20100230053A1 (en) * 2009-01-15 2010-09-16 Ryoji Nishio Plasma processing apparatus
CN101856581A (en) * 2009-04-02 2010-10-13 澄明科技有限公司 Control method of plasma by magnetic field in an exhaust gas treating apparatus and an exhaust gas treating apparatus using the same
CN102714913A (en) * 2009-11-18 2012-10-03 应用材料公司 Plasma source design
CN102568635A (en) * 2012-01-01 2012-07-11 徐宽 Particle revolving acceleration-type atomic pile

Also Published As

Publication number Publication date
KR102435471B1 (en) 2022-08-22
KR20220009485A (en) 2022-01-24
TWI679922B (en) 2019-12-11
TWI806214B (en) 2023-06-21
CN111508809B (en) 2023-04-28
US9240308B2 (en) 2016-01-19
JP6738742B2 (en) 2020-08-12
US9552967B2 (en) 2017-01-24
CN106062925A (en) 2016-10-26
KR20150105250A (en) 2015-09-16
CN106062925B (en) 2020-03-10
US20170133208A1 (en) 2017-05-11
KR102352727B1 (en) 2022-01-17
TWI747069B (en) 2021-11-21
TW202025860A (en) 2020-07-01
JP2022140436A (en) 2022-09-26
WO2015134157A1 (en) 2015-09-11
JP7091394B2 (en) 2022-06-27
JP2017515286A (en) 2017-06-08
US20150255256A1 (en) 2015-09-10
JP2020188010A (en) 2020-11-19
TW202209409A (en) 2022-03-01
JP7404445B2 (en) 2023-12-25
TW201536114A (en) 2015-09-16
US10176973B2 (en) 2019-01-08
US20160133442A1 (en) 2016-05-12

Similar Documents

Publication Publication Date Title
CN106062925B (en) Hall effect enhanced capacitively coupled plasma source, abatement system and vacuum processing system
US9543124B2 (en) Capacitively coupled plasma source for abating compounds produced in semiconductor processes
KR102111914B1 (en) Plasma abatement of compounds containing heavy atoms
KR20170031239A (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
KR20080066927A (en) Apparatus for treating a gas stream

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant